Skip to main content
Top

2018 | OriginalPaper | Chapter

2. Introduction to Hardware Trojans

Author : Jason Vosatka

Published in: The Hardware Trojan War

Publisher: Springer International Publishing

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Hardware Trojans are a major concern to the overall security and trust of any electronic system. These malicious modifications of circuits are designed to wreak havoc by altering the intended behavior of the system. When triggered, Trojans adversely affect electronics leading to reduced reliability, system failure, remote access into hardware, sensitive information leakage, and damage to a company’s reputation. Hardware Trojans are specifically designed to be rarely activated and undetectable to conventional testing practices and verification methodologies. Trojans can be hidden in many electronic components of integrated circuits (IC), field-programmable gate arrays (FPGA), system-on-chips (SoC), application-specific integrated circuits (ASIC), and third-party intellectual property (3PIP). They can be inserted by adversarial entities including untrusted foundries, designers, vendors, as well as electronic design automation (EDA) and computer-aided design (CAD) software tool suites. The emergence of hardware Trojans has discredited the common argument that hardware is always safe and trustworthy. This chapter provides a detailed insight into hardware Trojans including models, triggers, payloads, attacks, countermeasures, as well as the need to incorporate security through the entire hardware design flow and life cycle of ICs within the global supply chain.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference S. Ali, D. Mukhopadhyay, R.S. Chakraborty, S. Bhunia, Multi-level attack: an emerging threat model for cryptographic hardware, in Proceeding of the Design, Automation & Test in Europe (DATE) Conference Exhibition (2011), pp. 1–4 S. Ali, D. Mukhopadhyay, R.S. Chakraborty, S. Bhunia, Multi-level attack: an emerging threat model for cryptographic hardware, in Proceeding of the Design, Automation & Test in Europe (DATE) Conference Exhibition (2011), pp. 1–4
2.
go back to reference M. Banga, M. Hsiao, VITAMIN: voltage inversion technique to ascertain malicious insertions in ICs, in Proceeding of the IEEE International Workshop on Hardware-Oriented Security and Trust (2009), pp. 104–107 M. Banga, M. Hsiao, VITAMIN: voltage inversion technique to ascertain malicious insertions in ICs, in Proceeding of the IEEE International Workshop on Hardware-Oriented Security and Trust (2009), pp. 104–107
3.
go back to reference C. Bao, D. Forte, A. Srivastava, On reverse engineering-based hardware Trojan detection. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 35(1), 49–57 (2016)CrossRef C. Bao, D. Forte, A. Srivastava, On reverse engineering-based hardware Trojan detection. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 35(1), 49–57 (2016)CrossRef
4.
go back to reference G.T. Becker, F. Regazzoni, C. Paar, W.P. Burleson, Stealthy dopant-level hardware Trojans: extended version. J. Cryptogr. Eng. 4(1), 1–13 (2014)CrossRef G.T. Becker, F. Regazzoni, C. Paar, W.P. Burleson, Stealthy dopant-level hardware Trojans: extended version. J. Cryptogr. Eng. 4(1), 1–13 (2014)CrossRef
5.
go back to reference S. Bhunia, M. Abramovici, D. Agrawal, P. Bradley, M.S. Hsiao, J. Plusquellic, M. Tehranipoor, Protection against hardware Trojan attacks: towards a comprehensive solution. IEEE Design Test 30(3), 6–17 (2013)CrossRef S. Bhunia, M. Abramovici, D. Agrawal, P. Bradley, M.S. Hsiao, J. Plusquellic, M. Tehranipoor, Protection against hardware Trojan attacks: towards a comprehensive solution. IEEE Design Test 30(3), 6–17 (2013)CrossRef
6.
go back to reference S. Bhunia, M.S. Hsiao, M. Banga, S. Narasimhan, Hardware Trojan attacks: threat analysis and countermeasures. Proc. IEEE 102(8), 1229–1247 (2014)CrossRef S. Bhunia, M.S. Hsiao, M. Banga, S. Narasimhan, Hardware Trojan attacks: threat analysis and countermeasures. Proc. IEEE 102(8), 1229–1247 (2014)CrossRef
7.
go back to reference B. Cha, S.K. Gupta, A resizing method to minimize effects of hardware Trojans, in 2014 IEEE 23rd Asian Test Symposium (2014), pp. 192–199 B. Cha, S.K. Gupta, A resizing method to minimize effects of hardware Trojans, in 2014 IEEE 23rd Asian Test Symposium (2014), pp. 192–199
8.
go back to reference R.S. Chakraborty, S. Bhunia, Security against hardware Trojan attacks using key-based design obfuscation. J. Electron. Test. (JETTA) Theory Appl. 27(6), 767–785 (2011) R.S. Chakraborty, S. Bhunia, Security against hardware Trojan attacks using key-based design obfuscation. J. Electron. Test. (JETTA) Theory Appl. 27(6), 767–785 (2011)
9.
go back to reference R.S. Chakraborty, S. Narasimhan, S. Bhunia, Hardware Trojan: threats and emerging solutions, in IEEE International High Level Design Validation and Test Workshop (2009), pp. 166–171 R.S. Chakraborty, S. Narasimhan, S. Bhunia, Hardware Trojan: threats and emerging solutions, in IEEE International High Level Design Validation and Test Workshop (2009), pp. 166–171
10.
go back to reference R.S. Chakraborty, F. Wolff, S. Paul, C. Papachristou, S. Bhunia, MERO: a statistical approach for hardware Trojan detection, in Proceeding of the Cryptographic Hardware and Embedded Systems (CHES) (2009), pp. 396–410 R.S. Chakraborty, F. Wolff, S. Paul, C. Papachristou, S. Bhunia, MERO: a statistical approach for hardware Trojan detection, in Proceeding of the Cryptographic Hardware and Embedded Systems (CHES) (2009), pp. 396–410
11.
go back to reference G.K. Contreras, A. Nahiyan, S. Bhunia, D. Forte, M. Tehranipoor, Security vulnerability analysis of design-for-test exploits for asset protection in SoCs, in 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC) (2017), pp. 617–622 G.K. Contreras, A. Nahiyan, S. Bhunia, D. Forte, M. Tehranipoor, Security vulnerability analysis of design-for-test exploits for asset protection in SoCs, in 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC) (2017), pp. 617–622
12.
go back to reference D. Du, S. Narasimhan, R.S. Chakraborty, S. Bhunia, Self-referencing: a scalable side-channel approach for hardware Trojan detection, in Proceeding of the Cryptographic Hardware and Embedded Systems (CHES) (2010), pp. 173–187 D. Du, S. Narasimhan, R.S. Chakraborty, S. Bhunia, Self-referencing: a scalable side-channel approach for hardware Trojan detection, in Proceeding of the Cryptographic Hardware and Embedded Systems (CHES) (2010), pp. 173–187
13.
go back to reference C. Dunbar, G. Qu, Designing trusted embedded systems from finite state machines. ACM Trans. Embed. Comput. Syst. 13(5s), Article 153 (2014) C. Dunbar, G. Qu, Designing trusted embedded systems from finite state machines. ACM Trans. Embed. Comput. Syst. 13(5s), Article 153 (2014)
14.
go back to reference D. Forte, C. Bao, A. Srivastava, Temperature tracking: an innovative run-time approach for hardware Trojan detection, in Proceedings of the 2013 IEEE/ACM International Conference on Computer-Aided Design, ICCAD (2013), pp. 532–539 D. Forte, C. Bao, A. Srivastava, Temperature tracking: an innovative run-time approach for hardware Trojan detection, in Proceedings of the 2013 IEEE/ACM International Conference on Computer-Aided Design, ICCAD (2013), pp. 532–539
16.
go back to reference Y. Kaiyuan, M. Hicks, Q. Dong, T. Austin, D. Sylvester, A2: analog malicious hardware, in 2016 IEEE Symposium on Security and Privacy (SP) (2016) Y. Kaiyuan, M. Hicks, Q. Dong, T. Austin, D. Sylvester, A2: analog malicious hardware, in 2016 IEEE Symposium on Security and Privacy (SP) (2016)
17.
go back to reference R. Karri, J. Rajendran, K. Rosenfeld, M. Tehranipoor, Trustworthy hardware: identifying and classifying hardware Trojans. IEEE Comput. 43(10), 39–46 (2010)CrossRef R. Karri, J. Rajendran, K. Rosenfeld, M. Tehranipoor, Trustworthy hardware: identifying and classifying hardware Trojans. IEEE Comput. 43(10), 39–46 (2010)CrossRef
18.
go back to reference S.T. King, J. Tucek, A. Cozzie, C. Grier, W. Jiang, Y. Zhou, Designing and implementing malicious hardware, in Proceeding of the 1st USENIX Workshop Large-Scale Exploits Emergent Threats (LEET) (2008) S.T. King, J. Tucek, A. Cozzie, C. Grier, W. Jiang, Y. Zhou, Designing and implementing malicious hardware, in Proceeding of the 1st USENIX Workshop Large-Scale Exploits Emergent Threats (LEET) (2008)
19.
go back to reference L. Lin, W. Burleson, C. Paar, MOLES: malicious off-chip leakage enabled by side-channels, in Proceedings International Conference on Computer-Aided Design (ICCAD) (2009), pp. 117–122 L. Lin, W. Burleson, C. Paar, MOLES: malicious off-chip leakage enabled by side-channels, in Proceedings International Conference on Computer-Aided Design (ICCAD) (2009), pp. 117–122
20.
go back to reference Y. Liu, Y. Jin, A. Nosratinia, Y. Makris, Silicon demonstration of hardware Trojan design and detection in wireless cryptographic ICs. IEEE Trans. Very Large Scale Integr. VLSI Syst. 25(4), 1506–1519 (2017)CrossRef Y. Liu, Y. Jin, A. Nosratinia, Y. Makris, Silicon demonstration of hardware Trojan design and detection in wireless cryptographic ICs. IEEE Trans. Very Large Scale Integr. VLSI Syst. 25(4), 1506–1519 (2017)CrossRef
21.
go back to reference E. Love, Y. Jin, Y. Makris, Proof-carrying hardware intellectual property: a pathway to trusted module acquisition. IEEE Trans. Inf. Forensics Secur. 7(1), 25–40 (2012)CrossRef E. Love, Y. Jin, Y. Makris, Proof-carrying hardware intellectual property: a pathway to trusted module acquisition. IEEE Trans. Inf. Forensics Secur. 7(1), 25–40 (2012)CrossRef
22.
go back to reference S. Mal-Sarkar, R. Karam, S. Narasimhan, A. Ghosh, A. Krishna, S. Bhunia, Design and validation for FPGA trust under hardware Trojan attacks. IEEE Trans. Multi-Scale Comput. Syst. 2(3), 186–198 (2016)CrossRef S. Mal-Sarkar, R. Karam, S. Narasimhan, A. Ghosh, A. Krishna, S. Bhunia, Design and validation for FPGA trust under hardware Trojan attacks. IEEE Trans. Multi-Scale Comput. Syst. 2(3), 186–198 (2016)CrossRef
23.
go back to reference S. Narasimhan, X. Wang, D. Du, R.S. Chakraborty, S. Bhunia, TeSR: a robust temporal self-referencing approach for hardware Trojan detection, in Proceeding of the IEEE International Symposium on Hardware-Oriented Security and Trust (HOST) (2011), pp. 71–74 S. Narasimhan, X. Wang, D. Du, R.S. Chakraborty, S. Bhunia, TeSR: a robust temporal self-referencing approach for hardware Trojan detection, in Proceeding of the IEEE International Symposium on Hardware-Oriented Security and Trust (HOST) (2011), pp. 71–74
24.
go back to reference S. Narasimhan, W. Yueh, X. Wang, S. Mukhopadhyay, S. Bhunia, Improving IC security against Trojan attacks through integration of security monitors. IEEE Des. Test Comput. 29(5), 37–46 (2012)CrossRef S. Narasimhan, W. Yueh, X. Wang, S. Mukhopadhyay, S. Bhunia, Improving IC security against Trojan attacks through integration of security monitors. IEEE Des. Test Comput. 29(5), 37–46 (2012)CrossRef
25.
go back to reference S. Narasimhan, D. Du, R.S. Chakraborty, S. Paul, F.G. Wolff, C.A. Papachristou, K. Roy, S. Bhunia, Hardware Trojan detection by multiple-parameter side-channel analysis. IEEE Trans. Comput. 62(11), 2183–2195 (2013)MathSciNetCrossRefMATH S. Narasimhan, D. Du, R.S. Chakraborty, S. Paul, F.G. Wolff, C.A. Papachristou, K. Roy, S. Bhunia, Hardware Trojan detection by multiple-parameter side-channel analysis. IEEE Trans. Comput. 62(11), 2183–2195 (2013)MathSciNetCrossRefMATH
26.
go back to reference M. Potkonjak, Synthesis of trustable ICs using untrusted CAD tools, in Proceeding of the Design Automation Conference (2010), pp. 633–634 M. Potkonjak, Synthesis of trustable ICs using untrusted CAD tools, in Proceeding of the Design Automation Conference (2010), pp. 633–634
27.
go back to reference J. Rajendran, A.K. Kanuparthi, M. Zahran, S.K. Addepalli, G. Ormazabal, R. Karri, Securing processors against insider attacks: a circuit-microarchitecture co-design approach. IEEE Des. Test 30(2), 35–44 (2013)CrossRef J. Rajendran, A.K. Kanuparthi, M. Zahran, S.K. Addepalli, G. Ormazabal, R. Karri, Securing processors against insider attacks: a circuit-microarchitecture co-design approach. IEEE Des. Test 30(2), 35–44 (2013)CrossRef
28.
go back to reference T. Reece, D.B. Limbrick, W.H. Robinson, Design comparison to identify malicious hardware in external intellectual property, in Proceeding of the IEEE 10th International Conference on Trust, Security and Privacy in Computing and Communications, Changsha (2011), pp. 639–646 T. Reece, D.B. Limbrick, W.H. Robinson, Design comparison to identify malicious hardware in external intellectual property, in Proceeding of the IEEE 10th International Conference on Trust, Security and Privacy in Computing and Communications, Changsha (2011), pp. 639–646
29.
go back to reference M. Rostami, F. Koushanfar, J. Rajendran, R. Karri, Hardware security: threat models and metrics, in Proceedings of the International Conference on Computer-Aided Design (ICCAD’13) (IEEE Press, Piscataway, 2013), pp. 819–823 M. Rostami, F. Koushanfar, J. Rajendran, R. Karri, Hardware security: threat models and metrics, in Proceedings of the International Conference on Computer-Aided Design (ICCAD’13) (IEEE Press, Piscataway, 2013), pp. 819–823
30.
go back to reference J. Roy, F. Koushanfar, I. Markov, EPIC: ending piracy of integrated circuits. IEEE Comput. 43(10), 30–38 (2010)CrossRef J. Roy, F. Koushanfar, I. Markov, EPIC: ending piracy of integrated circuits. IEEE Comput. 43(10), 30–38 (2010)CrossRef
31.
go back to reference H. Salmani, M. Tehranipoor, J. Plusquellic, A novel technique for improving hardware Trojan detection and reducing Trojan activation time. IEEE Trans. Very Large Scale Integr. VLSI Syst. 20(1), 112–125 (2012)CrossRef H. Salmani, M. Tehranipoor, J. Plusquellic, A novel technique for improving hardware Trojan detection and reducing Trojan activation time. IEEE Trans. Very Large Scale Integr. VLSI Syst. 20(1), 112–125 (2012)CrossRef
32.
go back to reference H. Salmani, M. Tehranipoor, R. Karri, On design vulnerability analysis and trust benchmark development, in IEEE International Conference on Computer Design (ICCD) (2013) H. Salmani, M. Tehranipoor, R. Karri, On design vulnerability analysis and trust benchmark development, in IEEE International Conference on Computer Design (ICCD) (2013)
33.
go back to reference M. Sanchita, A. Krishna, A. Ghosh, S. Bhunia, Hardware Trojan attacks in FPGA devices: threat analysis and effective counter measures, in Proceedings of the 24th Edition of the Great Lakes Symposium on VLSI (2014), pp. 287–292 M. Sanchita, A. Krishna, A. Ghosh, S. Bhunia, Hardware Trojan attacks in FPGA devices: threat analysis and effective counter measures, in Proceedings of the 24th Edition of the Great Lakes Symposium on VLSI (2014), pp. 287–292
34.
go back to reference B. Shakya, T. He, H. Salmani, D. Forte, S. Bhunia, M. Tehranipoor, Benchmarking of hardware Trojans and maliciously affected circuits. J. Hardw. Syst. Secur. (HaSS) 1(1), 85–102 (2017) B. Shakya, T. He, H. Salmani, D. Forte, S. Bhunia, M. Tehranipoor, Benchmarking of hardware Trojans and maliciously affected circuits. J. Hardw. Syst. Secur. (HaSS) 1(1), 85–102 (2017)
35.
go back to reference Y. Shiyanovskii, F. Wolff, A. Rajendran, C. Papachristou, D. Weyer, W. Clay, Process reliability based Trojans through NBTI and HCI effects, in Proceeding of the NASA/ESA Conference on Adaptive Hardware and Systems (2010), pp. 215–222 Y. Shiyanovskii, F. Wolff, A. Rajendran, C. Papachristou, D. Weyer, W. Clay, Process reliability based Trojans through NBTI and HCI effects, in Proceeding of the NASA/ESA Conference on Adaptive Hardware and Systems (2010), pp. 215–222
36.
go back to reference M. Tehranipoor, F. Koushanfar, A survey of hardware Trojan taxonomy and detections. IEEE Des. Test Comput. 27(1), 10–25 (2010)CrossRef M. Tehranipoor, F. Koushanfar, A survey of hardware Trojan taxonomy and detections. IEEE Des. Test Comput. 27(1), 10–25 (2010)CrossRef
37.
go back to reference M. Tehranipoor, C. Wang, Introduction to Hardware Security and Trust (Springer, New York, 2012)CrossRef M. Tehranipoor, C. Wang, Introduction to Hardware Security and Trust (Springer, New York, 2012)CrossRef
38.
go back to reference M. Tehranipoor, H. Salmani, X. Zhang, Integrated Circuit Authentication (Springer, Cham, 2014)CrossRef M. Tehranipoor, H. Salmani, X. Zhang, Integrated Circuit Authentication (Springer, Cham, 2014)CrossRef
39.
go back to reference M. Tehranipoor, U. Guin, D. Forte, Counterfeit Integrated Circuits: Detection and Avoidance (Springer, Cham, 2015)CrossRef M. Tehranipoor, U. Guin, D. Forte, Counterfeit Integrated Circuits: Detection and Avoidance (Springer, Cham, 2015)CrossRef
40.
go back to reference R. Torrance, D. James, The state-of-the-art in semiconductor reverse engineering, in IEEE/ACM Design Automation Conference (2011), pp. 333–338 R. Torrance, D. James, The state-of-the-art in semiconductor reverse engineering, in IEEE/ACM Design Automation Conference (2011), pp. 333–338
42.
go back to reference N.G. Tsoutsos, M. Maniatakos, Fabrication attacks: zero-overhead malicious modifications enabling modern microprocessor privilege escalation. IEEE Trans. Emerg. Top. Comput. 2(1), 81–93 (2014)CrossRef N.G. Tsoutsos, M. Maniatakos, Fabrication attacks: zero-overhead malicious modifications enabling modern microprocessor privilege escalation. IEEE Trans. Emerg. Top. Comput. 2(1), 81–93 (2014)CrossRef
43.
go back to reference X. Wang, M. Tehranipoor, J. Plusquellic, Detecting malicious inclusions in secure hardware: challenges and solutions, in IEEE International Workshop on Hardware-Oriented Security and Trust (HOST) (2008) X. Wang, M. Tehranipoor, J. Plusquellic, Detecting malicious inclusions in secure hardware: challenges and solutions, in IEEE International Workshop on Hardware-Oriented Security and Trust (HOST) (2008)
44.
go back to reference X. Wang, S. Narasimhan, A. Krishna, T. Mal-Sarkar, S. Bhunia, Software exploitable hardware Trojan attacks in embedded processor, in Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT) (2012), pp. 55–58 X. Wang, S. Narasimhan, A. Krishna, T. Mal-Sarkar, S. Bhunia, Software exploitable hardware Trojan attacks in embedded processor, in Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT) (2012), pp. 55–58
45.
go back to reference K. Xiao, D. Forte, Y. Jin, R. Karri, S. Bhunia, M. Tehranipoor, Hardware Trojans: lessons learned after one decade of research. ACM Trans. Des. Autom. Electron. Syst. 22(1), 6:1–6:23 (2016) K. Xiao, D. Forte, Y. Jin, R. Karri, S. Bhunia, M. Tehranipoor, Hardware Trojans: lessons learned after one decade of research. ACM Trans. Des. Autom. Electron. Syst. 22(1), 6:1–6:23 (2016)
46.
go back to reference P.L. Yang, M. Marek-Sadowska, Making split-fabrication more secure, in 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Austin (2016), pp. 1–8 P.L. Yang, M. Marek-Sadowska, Making split-fabrication more secure, in 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Austin (2016), pp. 1–8
47.
go back to reference X. Zhang, M. Tehranipoor, Case study: detecting hardware Trojans in third-party digital IP cores. IEEE Int. Symp. Hardw.-Oriented Secur. Trust 22(1), 67–70 (2011) X. Zhang, M. Tehranipoor, Case study: detecting hardware Trojans in third-party digital IP cores. IEEE Int. Symp. Hardw.-Oriented Secur. Trust 22(1), 67–70 (2011)
48.
go back to reference Y. Zheng, S. Yang, S. Bhunia, SeMIA: self-similarity-based IC integrity analysis. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 35(1), 37–48 (2016)CrossRef Y. Zheng, S. Yang, S. Bhunia, SeMIA: self-similarity-based IC integrity analysis. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 35(1), 37–48 (2016)CrossRef
Metadata
Title
Introduction to Hardware Trojans
Author
Jason Vosatka
Copyright Year
2018
DOI
https://doi.org/10.1007/978-3-319-68511-3_2