Skip to main content
Top
Published in: Wireless Personal Communications 3/2018

01-02-2018

Machine Learning Based Resource Utilization and Pre-estimation for Network on Chip (NoC) Communication

Authors: Adesh Kumar, Paawan Sharma, Mukul Kumar Gupta, Roushan Kumar

Published in: Wireless Personal Communications | Issue 3/2018

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Network on chip (NoC) is the solution to solve the problem of larger system on chip and bus based communication system. NoC provides scalable, highly reliable and modular approach for on chip communication and related problems. The wireless communication technologies such as IEEE 802.15.4 Zigbee technology follow mesh, star and cluster tree topology. The paper focuses on the development of machine learning model for design and FPGA synthesis of mesh, ring and fat tree NoC for different cluster size (N = 2, 4, 8, 16, 32, 64, 128 and 256). The fat-tree based topologies incorporate more links near the root of the tree, in order to fulfill the requirement for higher communication demand closer to the root of the tree, as compared to its leafs. It is an indirect topology in which not all routers are identical in terms of number of ports connecting to other routers or elements in the network. The research article presents the use of machine learning techniques to predict the FPGA resource utilization for NoC in advance. The present study helps in NoC chip planning before designing the chip itself by taking into account known hardware design parameters, memory utilization and timing parameters such as minimum and maximum period, frequency support etc. The machine learning is carried out based on multiple linear regression, decision tree regression and random forest regression which estimate the accuracy of the design and good performance. The interprocess communication among nodes is verified using Virtex-5 FPGA, in which data flows in packets and can vary up to ‘n’ bit. The designs are developed in Xilinx ISE 14.2 and simulated in Modelsim 10.1b with the help of VHDL programming language. The developed model has been validated and has performed well on independent test data.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Hansson, A., Goossens, K., & Radulescu, A. (2007). A unified approach to mapping and routing on a network-on-chip for both best-effort and guaranteed service traffic. Hindawi Publishing Corporation VLSI Design, 1–16. Hansson, A., Goossens, K., & Radulescu, A. (2007). A unified approach to mapping and routing on a network-on-chip for both best-effort and guaranteed service traffic. Hindawi Publishing Corporation VLSI Design, 1–16.
2.
go back to reference Neeb, C., Thul, M. J., & When, N. (2005). Network-on-chip-centric approach to interleaving in high throughput channel decoders. In IEEE international symposium on circuits and systems (ISCAS), Kobe, Japan (pp. 1766–1769). Neeb, C., Thul, M. J., & When, N. (2005). Network-on-chip-centric approach to interleaving in high throughput channel decoders. In IEEE international symposium on circuits and systems (ISCAS), Kobe, Japan (pp. 1766–1769).
3.
go back to reference Bergamaschi, R. A., & Cohn, J. (2002). The A to Z of SoCs. In Proceedings of the IEEE/ACM international conference on computer aided design (ICCAD), Yorktown Heights (pp. 791–798). Bergamaschi, R. A., & Cohn, J. (2002). The A to Z of SoCs. In Proceedings of the IEEE/ACM international conference on computer aided design (ICCAD), Yorktown Heights (pp. 791–798).
5.
go back to reference Wiklund, D., & Liu, D. (2003). SoCBUS: Switched network on chip for hard real time embedded systems. In Parallel and distributed processing symposium (pp. 8–9). Wiklund, D., & Liu, D. (2003). SoCBUS: Switched network on chip for hard real time embedded systems. In Parallel and distributed processing symposium (pp. 8–9).
6.
go back to reference Pasricha, S., Dutt, N., & Ben-Romdhane, M. (2006). Constraint-driven bus matrix synthesis for MPSoC. In Proceedings of the Asia and South Pacific conference on design automation (ASPDAC), Yokohama (pp. 30–35). Pasricha, S., Dutt, N., & Ben-Romdhane, M. (2006). Constraint-driven bus matrix synthesis for MPSoC. In Proceedings of the Asia and South Pacific conference on design automation (ASPDAC), Yokohama (pp. 30–35).
7.
go back to reference Lu, R., & Koh, C.-K. (2003). Samba-BUS: High performance BUS architecture for system-on-chips. In Proceedings of the IEEE/ACM international conference on computer aided design (ICCAD), San Jose (pp. 8–12). Lu, R., & Koh, C.-K. (2003). Samba-BUS: High performance BUS architecture for system-on-chips. In Proceedings of the IEEE/ACM international conference on computer aided design (ICCAD), San Jose (pp. 8–12).
8.
go back to reference Metra, C., Favalli, M., & Riccó, B. (2000). Self-checking detection and diagnosis scheme for transient, delay and crosstalk faults affecting bus lines. IEEE Transactions on Computers, 49, 560–574.CrossRef Metra, C., Favalli, M., & Riccó, B. (2000). Self-checking detection and diagnosis scheme for transient, delay and crosstalk faults affecting bus lines. IEEE Transactions on Computers, 49, 560–574.CrossRef
9.
go back to reference Rossi, D., Nieuwland, A. K., van Dijk, S. V. E. S., Kleihorst, R. P., & Metra, C. (2008). Power consumption of fault tolerant busses. IEEE Transactions on Very Large Scale Integration System, 16, 542–553.CrossRef Rossi, D., Nieuwland, A. K., van Dijk, S. V. E. S., Kleihorst, R. P., & Metra, C. (2008). Power consumption of fault tolerant busses. IEEE Transactions on Very Large Scale Integration System, 16, 542–553.CrossRef
10.
go back to reference Dally, W. J., & Towles, B. (2001). Route packets, not wires: On-chip interconnection networks. In Proceedings of the design automation conference (DAC), Las Vegas (pp. 684–689). Dally, W. J., & Towles, B. (2001). Route packets, not wires: On-chip interconnection networks. In Proceedings of the design automation conference (DAC), Las Vegas (pp. 684–689).
11.
go back to reference Guerrier, P., & Greiner, A. (2001). A generic architecture for on-chip packet-switched interconnections. In Proceedings of the design automation and test in Europe conference (DATE), Paris (pp. 250–256). Guerrier, P., & Greiner, A. (2001). A generic architecture for on-chip packet-switched interconnections. In Proceedings of the design automation and test in Europe conference (DATE), Paris (pp. 250–256).
12.
go back to reference Dall’Osso, M., Biccari, G., Giovannini, L., Bertozzi, D., & Benini, L. (2012). Xpipes: A latency insensitive parameterized network-on-chip architecture for multi-processor SoCs. In International conference on computer design (ICCD) (pp. 45–48). Dall’Osso, M., Biccari, G., Giovannini, L., Bertozzi, D., & Benini, L. (2012). Xpipes: A latency insensitive parameterized network-on-chip architecture for multi-processor SoCs. In International conference on computer design (ICCD) (pp. 45–48).
13.
go back to reference Zeferino, C. A., Kreutz, M. E., Carro, L., & Susin, A. A. (2002). A study on communication issues for systems- on-chip. In Proceedings of the 15th symposium on integrated circuits and systems design (SBCCI), Porto Alegre (pp. 121–126). Zeferino, C. A., Kreutz, M. E., Carro, L., & Susin, A. A. (2002). A study on communication issues for systems- on-chip. In Proceedings of the 15th symposium on integrated circuits and systems design (SBCCI), Porto Alegre (pp. 121–126).
14.
go back to reference Atienzaa, D., Angiolini, F., Murali, S., Pullinid, A., Benini, L., & De Micheli, G. (2008). Network-on-chip design and synthesis outlook. Integration, the VLSI Journal, 41, 340–359.CrossRef Atienzaa, D., Angiolini, F., Murali, S., Pullinid, A., Benini, L., & De Micheli, G. (2008). Network-on-chip design and synthesis outlook. Integration, the VLSI Journal, 41, 340–359.CrossRef
15.
go back to reference Cota, E., de Morais Amory, A., & Lubaszewski, M. S. (2012). Reliability, availability and serviceability of networks on chip (Vol. 2, pp. 1–24). New York: Springer.CrossRefMATH Cota, E., de Morais Amory, A., & Lubaszewski, M. S. (2012). Reliability, availability and serviceability of networks on chip (Vol. 2, pp. 1–24). New York: Springer.CrossRefMATH
16.
go back to reference Karim, F., Nguyen, A., & Dey, S. (2002). An interconnect architecture for networking systems on chips. IEEE Journal on Micro High Performance Interconnect, 22, 36–45. Karim, F., Nguyen, A., & Dey, S. (2002). An interconnect architecture for networking systems on chips. IEEE Journal on Micro High Performance Interconnect, 22, 36–45.
17.
go back to reference Saastamoinen, I., Alho, M., & Nurmi, J. (2003). Buffer implementation for Proteo network-on-chip. International Proceedings of Circuits and Systems, 113–116. Saastamoinen, I., Alho, M., & Nurmi, J. (2003). Buffer implementation for Proteo network-on-chip. International Proceedings of Circuits and Systems, 113–116.
18.
go back to reference Obermeyer, Z., & Emanuel, E. J. (2016). Predicting the future—Big data, machine learning, and clinical medicine. The New England Journal of Medicine, 375(13), 1216.CrossRef Obermeyer, Z., & Emanuel, E. J. (2016). Predicting the future—Big data, machine learning, and clinical medicine. The New England Journal of Medicine, 375(13), 1216.CrossRef
19.
go back to reference Temam, O. (2016). Enabling future progress in machine-learning. In 2016 IEEE symposium on VLSI circuits (VLSI-circuits), (pp. 1–3). IEEE. Temam, O. (2016). Enabling future progress in machine-learning. In 2016 IEEE symposium on VLSI circuits (VLSI-circuits), (pp. 1–3). IEEE.
20.
go back to reference Notomista, G., & Botsch, M. (2017). A machine learning approach for the segmentation of driving maneuvers and its application in autonomous parking. Journal of Artificial Intelligence and Soft Computing Research, 7(4), 243–255.CrossRef Notomista, G., & Botsch, M. (2017). A machine learning approach for the segmentation of driving maneuvers and its application in autonomous parking. Journal of Artificial Intelligence and Soft Computing Research, 7(4), 243–255.CrossRef
21.
go back to reference Berk, R. (2017). An impact assessment of machine learning risk forecasts on parole board decisions and recidivism. Journal of Experimental Criminology, 13, 1–24.CrossRef Berk, R. (2017). An impact assessment of machine learning risk forecasts on parole board decisions and recidivism. Journal of Experimental Criminology, 13, 1–24.CrossRef
22.
go back to reference Ma, Z., Xue, J.-H., Leijon, A., Tan, Z.-H., Yang, Z., & Guo, J. (2018). Decorrelation of neutral vector variables: Theory and applications. IEEE Transactions on Neural Networks and Learning Systems, 29(1), 129–143.MathSciNetCrossRef Ma, Z., Xue, J.-H., Leijon, A., Tan, Z.-H., Yang, Z., & Guo, J. (2018). Decorrelation of neutral vector variables: Theory and applications. IEEE Transactions on Neural Networks and Learning Systems, 29(1), 129–143.MathSciNetCrossRef
23.
go back to reference Ma, Z., Teschendorff, A. E., Leijon, A., Qiao, Y., Zhang, H., & Guo, J. (2015). Variational bayesian matrix factorization for bounded support data. IEEE Transactions on Pattern Analysis and Machine Intelligence, 37(4), 876–889.CrossRef Ma, Z., Teschendorff, A. E., Leijon, A., Qiao, Y., Zhang, H., & Guo, J. (2015). Variational bayesian matrix factorization for bounded support data. IEEE Transactions on Pattern Analysis and Machine Intelligence, 37(4), 876–889.CrossRef
24.
go back to reference Xu, P., Yin, Q., Huang, Y., Song, Y.-Z., Ma, Z., Wang, L., Xiang, T., Kleijn, W. B., & Guo, J. (2018). Cross-modal subspace learning for fine-grained sketch-based image retrieval. arXiv preprint arXiv:1705.09888. Xu, P., Yin, Q., Huang, Y., Song, Y.-Z., Ma, Z., Wang, L., Xiang, T., Kleijn, W. B., & Guo, J. (2018). Cross-modal subspace learning for fine-grained sketch-based image retrieval. arXiv preprint arXiv:​1705.​09888.
25.
go back to reference Cybenko, G. (2017). Parallel computing for machine learning in social network analysis. In 2017 IEEE international on parallel and distributed processing symposium workshops (IPDPSW) (pp. 1464–1471). IEEE. Cybenko, G. (2017). Parallel computing for machine learning in social network analysis. In 2017 IEEE international on parallel and distributed processing symposium workshops (IPDPSW) (pp. 1464–1471). IEEE.
26.
go back to reference Abadi, M., Agarwal, A., Barham, P., Brevdo, E., Chen, Z., Citro, C., Corrado, G. S., et al. (2016). Tensorflow: Large-scale machine learning on heterogeneous distributed systems. arXiv preprint arXiv:1603.04467. Abadi, M., Agarwal, A., Barham, P., Brevdo, E., Chen, Z., Citro, C., Corrado, G. S., et al. (2016). Tensorflow: Large-scale machine learning on heterogeneous distributed systems. arXiv preprint arXiv:​1603.​04467.
27.
go back to reference Jeong, K., Kahng, A. B., Lin, B., & Samadi, K. (2010). Accurate machine-learning-based on-chip router modeling. IEEE Embedded Systems Letters, 2(3), 62–66.CrossRef Jeong, K., Kahng, A. B., Lin, B., & Samadi, K. (2010). Accurate machine-learning-based on-chip router modeling. IEEE Embedded Systems Letters, 2(3), 62–66.CrossRef
28.
go back to reference Kumar, A., Kuchhal, P., & Singhal, S. (2012). Network on chip for 3D mesh structure with enhanced security algorithm in HDL environment. International Journal of Computer Applications (IJCA), 59(17), 6–13.CrossRef Kumar, A., Kuchhal, P., & Singhal, S. (2012). Network on chip for 3D mesh structure with enhanced security algorithm in HDL environment. International Journal of Computer Applications (IJCA), 59(17), 6–13.CrossRef
29.
go back to reference Tatas, K., Siozios, K., Soudris, D., & Jantsch, A. (2014). Designing 2D and 3D network-on-chip architectures (pp. 1–45). New York: Springer.CrossRef Tatas, K., Siozios, K., Soudris, D., & Jantsch, A. (2014). Designing 2D and 3D network-on-chip architectures (pp. 1–45). New York: Springer.CrossRef
30.
go back to reference Kourdy, R., & Nouri, M. R. (2012). Compare performance of 2D and 3D mesh architectures in network on-chip. Journal of Computing, 4(1), 83–87. Kourdy, R., & Nouri, M. R. (2012). Compare performance of 2D and 3D mesh architectures in network on-chip. Journal of Computing, 4(1), 83–87.
33.
Metadata
Title
Machine Learning Based Resource Utilization and Pre-estimation for Network on Chip (NoC) Communication
Authors
Adesh Kumar
Paawan Sharma
Mukul Kumar Gupta
Roushan Kumar
Publication date
01-02-2018
Publisher
Springer US
Published in
Wireless Personal Communications / Issue 3/2018
Print ISSN: 0929-6212
Electronic ISSN: 1572-834X
DOI
https://doi.org/10.1007/s11277-018-5376-3

Other articles of this Issue 3/2018

Wireless Personal Communications 3/2018 Go to the issue