Skip to main content
Top

2018 | OriginalPaper | Chapter

Multiple Codes State Assignment and Code Length Reduction for Power Minimization of Finite State Machines

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

The method of a minimization of the power consumed by the finite state machine (FSM) is discussed in the presented paper. The proposed algorithm uses two performed sequentially methods of equivalent transformations of the FSM, which do not change the function, but the structure of an FSM.
One method assigns multiple codes to the internal states of an FSM. Using more than one code gives more opportunities to assign to the neighbor states the codes with lower Hamming distance, which in result lead to decrease a power consumption. The other method reduces the length of the internal state’s code using a special model of an FSM, in which the orthogonal state codes are obtained from a concatenation of the parts of the input and output vectors, and the subcode stored in a memory. This approach gives the possibility to reduce the size of the memory used for storing the internal state’s code, which leads to reducing the power consumption.
Proposed algorithm executes methods starting from the state splitting, followed by the implementation the common architectural model. The experimental results show that the proposed method reduces the power consumption compared to NOVA, JEDI, column based and sequential algorithms.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Yang, S.: Logic synthesis and optimization benchmarks user guide. Version 3.0., Technical Report. North Carolina. Microelectronics Center of North Carolina (1991). 46 p Yang, S.: Logic synthesis and optimization benchmarks user guide. Version 3.0., Technical Report. North Carolina. Microelectronics Center of North Carolina (1991). 46 p
2.
go back to reference Avedillo, M.J., Quintana, J.M., Huertas, J.L.: State merging and state splitting via state assignment: a new FSM synthesis algorithm. In: IEEE Proceedings - Computers and Digital Techniques, vol. 141, No. 4, 229–237 (1994) Avedillo, M.J., Quintana, J.M., Huertas, J.L.: State merging and state splitting via state assignment: a new FSM synthesis algorithm. In: IEEE Proceedings - Computers and Digital Techniques, vol. 141, No. 4, 229–237 (1994)
3.
go back to reference Tsui, C.-Y., Monteiro, J., Devadas, S., Despain, A.M., Lin, B.: Power estimation methods for sequential logic circuits. IEEE Trans. VLSI Syst. 3(3), 404–416 (1995)CrossRef Tsui, C.-Y., Monteiro, J., Devadas, S., Despain, A.M., Lin, B.: Power estimation methods for sequential logic circuits. IEEE Trans. VLSI Syst. 3(3), 404–416 (1995)CrossRef
4.
go back to reference Solovjev, V.: Synthesis of sequential circuits on programmable logic devices based on new models of finite state machines. In: Proceedings of the EUROMICRO Symposium on Digital Systems Design (DSD2001), September 4–6, Warsaw, Poland, pp. 170–173 (2001) Solovjev, V.: Synthesis of sequential circuits on programmable logic devices based on new models of finite state machines. In: Proceedings of the EUROMICRO Symposium on Digital Systems Design (DSD2001), September 4–6, Warsaw, Poland, pp. 170–173 (2001)
5.
go back to reference Iranli, A., Rezvani, P., Pedram, M.: Low power synthesis of state machines with mixed D and T flip flops. In: Proceedings of the Asia South Pacific Design Automation Conference (ASP-DAC), Kitakyushu, Japan, pp. 803–808. IEEE (2003) Iranli, A., Rezvani, P., Pedram, M.: Low power synthesis of state machines with mixed D and T flip flops. In: Proceedings of the Asia South Pacific Design Automation Conference (ASP-DAC), Kitakyushu, Japan, pp. 803–808. IEEE (2003)
6.
go back to reference Cao, C., Oelmann, B.: Mixed synchronous/asynchronous state memory for low power FSM design. In: Proceedings of the Euromicro Symposium on Digital System Design (DSD), Rennes, France, pp. 363–370. IEEE (2004) Cao, C., Oelmann, B.: Mixed synchronous/asynchronous state memory for low power FSM design. In: Proceedings of the Euromicro Symposium on Digital System Design (DSD), Rennes, France, pp. 363–370. IEEE (2004)
7.
go back to reference Shiue, W.-T.: Novel state minimization and state assignment in finite state machine design for low-power portable device. Integration, the VLSI Journal 38(3), 549–570 (2005)CrossRef Shiue, W.-T.: Novel state minimization and state assignment in finite state machine design for low-power portable device. Integration, the VLSI Journal 38(3), 549–570 (2005)CrossRef
8.
go back to reference Solov’ev, V.V.: Splitting the internal states in order to reduce the number of arguments in functions of finite automata. J. Comput. Syst. Sci. Int. 44(5), 777–783 (2005)MATH Solov’ev, V.V.: Splitting the internal states in order to reduce the number of arguments in functions of finite automata. J. Comput. Syst. Sci. Int. 44(5), 777–783 (2005)MATH
9.
go back to reference Salauyou, V., Klimowicz, A., Grzes, T., Bulatowa, I., Dimitrova-Grekow, T.: Synthesis methods of finite state machines Implemented in Package ZUBR. In: Proceedings of the Sixth International Conference Computer-Aided Design of Discrete Devices (CAD DD7), Minsk, Belarus, pp. 53–56 (2007) Salauyou, V., Klimowicz, A., Grzes, T., Bulatowa, I., Dimitrova-Grekow, T.: Synthesis methods of finite state machines Implemented in Package ZUBR. In: Proceedings of the Sixth International Conference Computer-Aided Design of Discrete Devices (CAD DD7), Minsk, Belarus, pp. 53–56 (2007)
10.
go back to reference Kumar, M. T., Pradhan, S. N., Chattopadhyay, S.: Power-gated FSM Synthesis Integrating Partitioning and State Assignment. In: Proceedings of IEEE Region 10 Conference: Tencon vols. 1–4, pp. 2076–2081 (2008) Kumar, M. T., Pradhan, S. N., Chattopadhyay, S.: Power-gated FSM Synthesis Integrating Partitioning and State Assignment. In: Proceedings of IEEE Region 10 Conference: Tencon vols. 1–4, pp. 2076–2081 (2008)
12.
go back to reference Yuan, L., Qu, G., Villa, T., Sangiovanni-Vincentelli, A.: An FSM reengineering approach to sequential circuit synthesis by state splitting. IEEE Trans. CAD 27(6), 1159–1164 (2008)CrossRef Yuan, L., Qu, G., Villa, T., Sangiovanni-Vincentelli, A.: An FSM reengineering approach to sequential circuit synthesis by state splitting. IEEE Trans. CAD 27(6), 1159–1164 (2008)CrossRef
13.
go back to reference Choudhury, S., Sistla, K.T., Chattopadhyay, S.: Genetic algorithm-based FSM synthesis with area-power trade-offs. Integration - The VLSI J. 42(3), 376–384 (2009)CrossRef Choudhury, S., Sistla, K.T., Chattopadhyay, S.: Genetic algorithm-based FSM synthesis with area-power trade-offs. Integration - The VLSI J. 42(3), 376–384 (2009)CrossRef
14.
go back to reference Le Gal, B., Ribon, A., Bossuet, L., Dallet, D.: Reducing and smoothing power consumption of ROM-based controller implementations. In: 23rd Symposium on Integrated Circuits and Systems Design SBCCI 2010, pp. 8–13 (2010) Le Gal, B., Ribon, A., Bossuet, L., Dallet, D.: Reducing and smoothing power consumption of ROM-based controller implementations. In: 23rd Symposium on Integrated Circuits and Systems Design SBCCI 2010, pp. 8–13 (2010)
15.
go back to reference Grzes, T., Salauyou, V., Bulatova, I.: Algorithms of coding the internal states of finite-state machine focused on the reduced power consumption. Radioelectron. Commun. Syst. 53(5), 265–273 (2010)CrossRef Grzes, T., Salauyou, V., Bulatova, I.: Algorithms of coding the internal states of finite-state machine focused on the reduced power consumption. Radioelectron. Commun. Syst. 53(5), 265–273 (2010)CrossRef
16.
go back to reference Klimovich, A.S., Solovev, V.V.: Transformation of a Mealy finite-state machine into a Moore finite-state machine by splitting internal states. J. Comput. Syst. Sci. Int. 49(6), 70–79 (2010)MathSciNetCrossRef Klimovich, A.S., Solovev, V.V.: Transformation of a Mealy finite-state machine into a Moore finite-state machine by splitting internal states. J. Comput. Syst. Sci. Int. 49(6), 70–79 (2010)MathSciNetCrossRef
17.
go back to reference Pradhan, S.N., Kumar, M.T., Chattopadhyay, S.: Low power finite state machine synthesis using power-gating. Integr. VLSI J. 44(3), 175–184 (2011)CrossRef Pradhan, S.N., Kumar, M.T., Chattopadhyay, S.: Low power finite state machine synthesis using power-gating. Integr. VLSI J. 44(3), 175–184 (2011)CrossRef
18.
go back to reference Mrozek, I., Yarmolik, V.N.: Iterative Antirandom Testing. J. Electron. Test 28(3), 301–315 (2012). Kluwer Academic PublishersCrossRef Mrozek, I., Yarmolik, V.N.: Iterative Antirandom Testing. J. Electron. Test 28(3), 301–315 (2012). Kluwer Academic PublishersCrossRef
19.
go back to reference Mukati, M.A.: A heuristic approach of code assignment to obtain an optimal FSM Design. In: Advanced Information Technology in Education, Advances in Intelligent and Soft Computing, vol. 126, pp. 23–31 (2012) Mukati, M.A.: A heuristic approach of code assignment to obtain an optimal FSM Design. In: Advanced Information Technology in Education, Advances in Intelligent and Soft Computing, vol. 126, pp. 23–31 (2012)
20.
go back to reference Sait, S.M., Oughali, F.C., Arafeh, A.M.: FSM state-encoding for area and power minimization using simulated evolution algorithm. J. Appl. Res. Technol. 10, 845–858 (2012) Sait, S.M., Oughali, F.C., Arafeh, A.M.: FSM state-encoding for area and power minimization using simulated evolution algorithm. J. Appl. Res. Technol. 10, 845–858 (2012)
21.
go back to reference Solovev, V.V.: Changes in the length of internal state codes with the aim at minimizing the power consumption of finite-state machines. J. Commun. Technol. Electr. 57(6), 642–648 (2012)CrossRef Solovev, V.V.: Changes in the length of internal state codes with the aim at minimizing the power consumption of finite-state machines. J. Commun. Technol. Electr. 57(6), 642–648 (2012)CrossRef
22.
go back to reference Solovev, V.V., Grzes, T.N.: An iteration algorithm of coding internal states of finite-state machines for minimizing the power consumption. Russian Microelectr. 42(3), 189–195 (2013)CrossRef Solovev, V.V., Grzes, T.N.: An iteration algorithm of coding internal states of finite-state machines for minimizing the power consumption. Russian Microelectr. 42(3), 189–195 (2013)CrossRef
23.
go back to reference Grzes, T.N., Solovev, V.V.: Sequential algorithm for low-power encoding internal states of finite state machines. J. Comput. Syst. Sci. Int. 53(1), 92–99 (2014)MathSciNetCrossRef Grzes, T.N., Solovev, V.V.: Sequential algorithm for low-power encoding internal states of finite state machines. J. Comput. Syst. Sci. Int. 53(1), 92–99 (2014)MathSciNetCrossRef
24.
go back to reference Grzes, T.N., Solovev, V.V.: Minimization of power consumption of finite state machines by splitting their internal states. J. Comput. Syst. Sci. Int. 54(3), 367–374 (2015)CrossRef Grzes, T.N., Solovev, V.V.: Minimization of power consumption of finite state machines by splitting their internal states. J. Comput. Syst. Sci. Int. 54(3), 367–374 (2015)CrossRef
25.
go back to reference Pradhan, S.N., Choudhury, P.: Low power and high testable finite state machine synthesis. In: Proceedings of the International Conference on and Workshop on Computing and Communication (IEMCON), Vancouver, Canada. IEEE (2015) Pradhan, S.N., Choudhury, P.: Low power and high testable finite state machine synthesis. In: Proceedings of the International Conference on and Workshop on Computing and Communication (IEMCON), Vancouver, Canada. IEEE (2015)
26.
go back to reference El-Maleh, A.H.: Majority-based evolution state assignment algorithm for area and power optimisation of sequential circuits. IET Comput. Digit. Tech. 10(1), 30–36 (2016)CrossRef El-Maleh, A.H.: Majority-based evolution state assignment algorithm for area and power optimisation of sequential circuits. IET Comput. Digit. Tech. 10(1), 30–36 (2016)CrossRef
Metadata
Title
Multiple Codes State Assignment and Code Length Reduction for Power Minimization of Finite State Machines
Author
Tomasz Grzes
Copyright Year
2018
DOI
https://doi.org/10.1007/978-3-319-99954-8_29

Premium Partner