Skip to main content
Top
Published in: Evolutionary Intelligence 2/2022

09-07-2019 | Special Issue

Optimal floor planning in VLSI using improved adaptive particle swarm optimization

Authors: S. B. Vinay Kumar, P. V. Rao, Manoj Kumar Singh

Published in: Evolutionary Intelligence | Issue 2/2022

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Floor planning is necessary to design the VLSI circuit. The complete computational characteristics of the manufactured chip are evaluated by floor planning process. It is the multi-objective problem in which different objectives are fulfilled at a time. Here, a new Interactive Self-Improvement based Adaptive Particle Swarm Optimization (ISI-APSO) technique is proposed to enhance the exploration efficiency and accuracy than convolutional PSO. Within less computation time the proposed ISI-APSO technique attains best global search throughout the space. The simulation results show that the proposed ISI-APSO algorithm achieves better performance than other heuristic algorithms in exploring efficiency and speed of convergence. In order to place the whole modules and their internally connected wire lengths, the Multi-objective optimization method is utilized. Therefore the necessary layout area is minimized. Moreover, the implemented results demonstrate the importance of the proposed algorithm with respect to the robust performance.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Eguchi K, Suzuki J, Yamane S, Oshima K (1999) An application of genetic algorithms to floorplanning of VLSI. In: Rough sets and current trends in computing, vol 1424 of the series Lecture Notes in Computer Science, pp 263–270 Eguchi K, Suzuki J, Yamane S, Oshima K (1999) An application of genetic algorithms to floorplanning of VLSI. In: Rough sets and current trends in computing, vol 1424 of the series Lecture Notes in Computer Science, pp 263–270
2.
go back to reference Valenzuela CL, Wang PY (2000) A genetic algorithm for VLSI floorplanning. In: Parallel problem solving from nature PPSN VI, vol 1917 of the series Lecture Notes in Computer Science, pp 671–680 Valenzuela CL, Wang PY (2000) A genetic algorithm for VLSI floorplanning. In: Parallel problem solving from nature PPSN VI, vol 1917 of the series Lecture Notes in Computer Science, pp 671–680
3.
go back to reference Cong J, Ma Y (2009) Thermal-aware 3D floorplan. In: Three dimensional integrated circuit design, part of the series integrated circuits and systems, pp 63–102 Cong J, Ma Y (2009) Thermal-aware 3D floorplan. In: Three dimensional integrated circuit design, part of the series integrated circuits and systems, pp 63–102
4.
go back to reference Potti S, Pothiraj S (2011) GPGPU implementation of parallel memetic algorithm for VLSI floorplanning problem. In: Trends in computer science, engineering and information technology, vol 204 of the series Communications in Computer and Information Science, pp 432–441 Potti S, Pothiraj S (2011) GPGPU implementation of parallel memetic algorithm for VLSI floorplanning problem. In: Trends in computer science, engineering and information technology, vol 204 of the series Communications in Computer and Information Science, pp 432–441
5.
go back to reference Chen H-M, Wong MDF (2001) Integrated floorplanning and interconnect planning. In: Layout optimization in VLSI design, vol 8 of the series Network Theory and Applications, pp 1–18 Chen H-M, Wong MDF (2001) Integrated floorplanning and interconnect planning. In: Layout optimization in VLSI design, vol 8 of the series Network Theory and Applications, pp 1–18
6.
7.
go back to reference Yang G, Song X, Yang HH, Xie F (2005) A theoretical upper bound for IP-based floorplanning. In: Computing and combinatorics, vol 3595 of the series Lecture Notes in Computer Science, pp 411–419 Yang G, Song X, Yang HH, Xie F (2005) A theoretical upper bound for IP-based floorplanning. In: Computing and combinatorics, vol 3595 of the series Lecture Notes in Computer Science, pp 411–419
8.
go back to reference Emmert JM, Lodha S, Bhatia DK (2003) On using tabu search for design automation of VLSI systems. J Heuristics 9(1):75–90CrossRef Emmert JM, Lodha S, Bhatia DK (2003) On using tabu search for design automation of VLSI systems. J Heuristics 9(1):75–90CrossRef
9.
go back to reference Faroe O, Pisinger D, Zachariasen M (2003) Guided local search for final placement in VLSI design. J Heuristics 9(3):269–295CrossRef Faroe O, Pisinger D, Zachariasen M (2003) Guided local search for final placement in VLSI design. J Heuristics 9(3):269–295CrossRef
10.
go back to reference Anjos MF, Liers F (2011) Global approaches for facility layout and VLSI floorplanning, vol 166 of the series International Series in Operations Research & Management Science, pp 849–877 Anjos MF, Liers F (2011) Global approaches for facility layout and VLSI floorplanning, vol 166 of the series International Series in Operations Research & Management Science, pp 849–877
11.
go back to reference Liu J, Zhong W, Jiao L (2005) Moving block sequence and organizational evolutionary algorithm for general floorplanning. In: Computational intelligence and security, vol 3801 of the series Lecture Notes in Computer Science, pp 238–246 Liu J, Zhong W, Jiao L (2005) Moving block sequence and organizational evolutionary algorithm for general floorplanning. In: Computational intelligence and security, vol 3801 of the series Lecture Notes in Computer Science, pp 238–246
12.
go back to reference Saheb Zamani M, Hellestrand GR (2005) A new neural network approach to the floorplanning of hierarchical VLSI designs. In: Neural networks for communications and control, from natural to artificial neural computation, vol 930 of the series Lecture Notes in Computer Science, pp 1128–1134 Saheb Zamani M, Hellestrand GR (2005) A new neural network approach to the floorplanning of hierarchical VLSI designs. In: Neural networks for communications and control, from natural to artificial neural computation, vol 930 of the series Lecture Notes in Computer Science, pp 1128–1134
13.
go back to reference Tang M, Sebastian A (2005) A genetic algorithm for VLSI floorplanning using O-tree representation. In: Applications of evolutionary computing, vol 3449 of the series Lecture Notes in Computer Science, pp 215–224 Tang M, Sebastian A (2005) A genetic algorithm for VLSI floorplanning using O-tree representation. In: Applications of evolutionary computing, vol 3449 of the series Lecture Notes in Computer Science, pp 215–224
14.
go back to reference Luo C, Anjos MF, Vannelli A (2008) A nonlinear optimization methodology for VLSI fixed-outline floorplanning. J Comb Optim 16(4):378–401MathSciNetCrossRef Luo C, Anjos MF, Vannelli A (2008) A nonlinear optimization methodology for VLSI fixed-outline floorplanning. J Comb Optim 16(4):378–401MathSciNetCrossRef
15.
go back to reference Chiang C-W (2009) Ant colony optimization for VLSI floorplanning with clustering constraints. J Chin Inst Ind Eng 26(6):440–448 Chiang C-W (2009) Ant colony optimization for VLSI floorplanning with clustering constraints. J Chin Inst Ind Eng 26(6):440–448
16.
go back to reference Fang J-P, Chang Y-L, Chen C-C (2009) A parallel simulated annealing approach for floorplanning in VLSI. In: Algorithms and architectures for parallel processing, vol 5574 of the series Lecture Notes in Computer Science, pp 291–302 Fang J-P, Chang Y-L, Chen C-C (2009) A parallel simulated annealing approach for floorplanning in VLSI. In: Algorithms and architectures for parallel processing, vol 5574 of the series Lecture Notes in Computer Science, pp 291–302
17.
go back to reference Chen J, Chen G, Guo W (2009) A discrete PSO for multi-objective optimization in VLSI floorplanning. In: Advances in computation and intelligence, vol 5821 of the series Lecture Notes in Computer Science, pp 400–410 Chen J, Chen G, Guo W (2009) A discrete PSO for multi-objective optimization in VLSI floorplanning. In: Advances in computation and intelligence, vol 5821 of the series Lecture Notes in Computer Science, pp 400–410
18.
go back to reference Chen G, Guo W, Chen Y (2010) A PSO-based intelligent decision algorithm for VLSI floorplanning. Soft Comput 14(12):1329–1337CrossRef Chen G, Guo W, Chen Y (2010) A PSO-based intelligent decision algorithm for VLSI floorplanning. Soft Comput 14(12):1329–1337CrossRef
19.
go back to reference Pavlidis VF, Friedman EG (2010) Physical design issues in 3-D integrated technologies. In: VLSI-SoC: design methodologies for SoC and SiP, vol 313 of the series IFIP Advances in Information and Communication Technology, pp 1–21 Pavlidis VF, Friedman EG (2010) Physical design issues in 3-D integrated technologies. In: VLSI-SoC: design methodologies for SoC and SiP, vol 313 of the series IFIP Advances in Information and Communication Technology, pp 1–21
20.
go back to reference Deng YS, Maly WP (2010) Floorplanning for 2.5-D integration. In: 3-dimensional VLSI, pp 83–116 Deng YS, Maly WP (2010) Floorplanning for 2.5-D integration. In: 3-dimensional VLSI, pp 83–116
21.
go back to reference Gracia Nirmala Rani D, Rajaram S (2011) Performance driven VLSI floorplanning with B*Tree representation using differential evolutionary algorithm. In: Trends in network and communications, vol 197 of the series Communications in Computer and Information Science, pp 445–456 Gracia Nirmala Rani D, Rajaram S (2011) Performance driven VLSI floorplanning with B*Tree representation using differential evolutionary algorithm. In: Trends in network and communications, vol 197 of the series Communications in Computer and Information Science, pp 445–456
22.
go back to reference Lim I, Song H, Shin H (2012) Integrated circuit floorplanning by using an analytical algorithm. In: Convergence and hybrid information technology, vol 7425 of the series Lecture Notes in Computer Science, pp 404–411 Lim I, Song H, Shin H (2012) Integrated circuit floorplanning by using an analytical algorithm. In: Convergence and hybrid information technology, vol 7425 of the series Lecture Notes in Computer Science, pp 404–411
23.
go back to reference Anand S, Saravanasankar S, Subbaraj P (2012) Customized simulated annealing based decision algorithms for combinatorial optimization in VLSI floorplanning problem. Comput Optim Appl 52(3):667–689MathSciNetCrossRef Anand S, Saravanasankar S, Subbaraj P (2012) Customized simulated annealing based decision algorithms for combinatorial optimization in VLSI floorplanning problem. Comput Optim Appl 52(3):667–689MathSciNetCrossRef
24.
go back to reference BeckhoffC, Koch D, Torreson J (2013) Automatic floorplanning and interface synthesis of island style reconfigurable systems with GoAhead. In: Architecture of computing systems—ARCS 2013, vol 7767 of the series Lecture Notes in Computer Science, pp 303–316 BeckhoffC, Koch D, Torreson J (2013) Automatic floorplanning and interface synthesis of island style reconfigurable systems with GoAhead. In: Architecture of computing systems—ARCS 2013, vol 7767 of the series Lecture Notes in Computer Science, pp 303–316
25.
go back to reference Kureichik VM, Lebedev BK, Lebedev VB (2013) VLSI floorplanning based on the integration of adaptive search models. J Comput Syst Sci Int 52(1):80–96CrossRef Kureichik VM, Lebedev BK, Lebedev VB (2013) VLSI floorplanning based on the integration of adaptive search models. J Comput Syst Sci Int 52(1):80–96CrossRef
26.
go back to reference Sivaranjani P, Senthil Kumar A (2015) Thermal-aware non-slicing VLSI floorplanning using a smart decision-making PSO-GA based hybrid algorithm. Circuits Syst Signal Process 34(11):3521–3542CrossRef Sivaranjani P, Senthil Kumar A (2015) Thermal-aware non-slicing VLSI floorplanning using a smart decision-making PSO-GA based hybrid algorithm. Circuits Syst Signal Process 34(11):3521–3542CrossRef
27.
go back to reference Aharoni M, Boni O, Freund A (2015) Rectangle placement for VLSI testing. In: Integration of AI and OR techniques in constraint programming, vol 9075 of the series Lecture Notes in Computer Science, pp 18–30 Aharoni M, Boni O, Freund A (2015) Rectangle placement for VLSI testing. In: Integration of AI and OR techniques in constraint programming, vol 9075 of the series Lecture Notes in Computer Science, pp 18–30
28.
go back to reference Ahmed MA, Mohapatra S, Chrzanowska-Jeske M (2016) TSV- and delay-aware 3D-IC floorplanning. Analog Integr Circuits Signal Process 87(2):235–248CrossRef Ahmed MA, Mohapatra S, Chrzanowska-Jeske M (2016) TSV- and delay-aware 3D-IC floorplanning. Analog Integr Circuits Signal Process 87(2):235–248CrossRef
29.
go back to reference Lv J, Wang X, Ren K, Huang M, Li K (2017) ACO-inspired information-centric networking routing mechanism. Comput Netw 126:200–217CrossRef Lv J, Wang X, Ren K, Huang M, Li K (2017) ACO-inspired information-centric networking routing mechanism. Comput Netw 126:200–217CrossRef
30.
go back to reference Li P-c, Zhang L, Dai L-c, Li X-F (2017) Study on operator’s SA reliability in digital NPPs. Part 3: a quantitative assessment method. Ann Nucl Energy 109:82–91CrossRef Li P-c, Zhang L, Dai L-c, Li X-F (2017) Study on operator’s SA reliability in digital NPPs. Part 3: a quantitative assessment method. Ann Nucl Energy 109:82–91CrossRef
31.
go back to reference Zheng LM, Zhang SX, Tang KS, Zheng SY (2017) Differential evolution powered by collective information. Inf Sci 399:13–29CrossRef Zheng LM, Zhang SX, Tang KS, Zheng SY (2017) Differential evolution powered by collective information. Inf Sci 399:13–29CrossRef
32.
go back to reference Mengqi H, Teresa W, Weir JD (2012) An intelligent augmentation of particle swarm optimization with multiple adaptive methods. Inf Sci 213:68–83CrossRef Mengqi H, Teresa W, Weir JD (2012) An intelligent augmentation of particle swarm optimization with multiple adaptive methods. Inf Sci 213:68–83CrossRef
33.
go back to reference Shi Y, Eberhart RC (1999) Empirical study of particle swarm optimization. In: Proceedings of the 1999 Congress on Evolutionary Computation-CEC99 (Cat. No. 99TH8406), Washington, DC, vol 3, p 1950 Shi Y, Eberhart RC (1999) Empirical study of particle swarm optimization. In: Proceedings of the 1999 Congress on Evolutionary Computation-CEC99 (Cat. No. 99TH8406), Washington, DC, vol 3, p 1950
34.
go back to reference Chatterjeea A, Siarry P (2006) Nonlinear inertia weight variation for dynamic adaptation in particle swarm optimization. Comput Oper Res 33(3):859–871CrossRef Chatterjeea A, Siarry P (2006) Nonlinear inertia weight variation for dynamic adaptation in particle swarm optimization. Comput Oper Res 33(3):859–871CrossRef
35.
go back to reference Lange-Nielsen T, Lance GM, Cao AY (1972) Pattern search algorithm for feedback-control system parameter optimization. IEEE Trans Comput 21(11):1222–1227CrossRef Lange-Nielsen T, Lance GM, Cao AY (1972) Pattern search algorithm for feedback-control system parameter optimization. IEEE Trans Comput 21(11):1222–1227CrossRef
36.
go back to reference Eberhart RC, Shi Y (2001) Tracking and optimizing dynamic systems with particle swarms. In: Proceedings of the 2001 Congress on Evolutionary Computation (IEEE Cat. No. 01TH8546), Seoul, vol 1, pp 94–100 Eberhart RC, Shi Y (2001) Tracking and optimizing dynamic systems with particle swarms. In: Proceedings of the 2001 Congress on Evolutionary Computation (IEEE Cat. No. 01TH8546), Seoul, vol 1, pp 94–100
37.
go back to reference Zhan ZH, Zhang J, Li Y, Chung HSH (2009) Adaptive particle swarm optimization. IEEE Trans Syst Man Cybern Part B (Cybern) 39(6):1362–1381CrossRef Zhan ZH, Zhang J, Li Y, Chung HSH (2009) Adaptive particle swarm optimization. IEEE Trans Syst Man Cybern Part B (Cybern) 39(6):1362–1381CrossRef
Metadata
Title
Optimal floor planning in VLSI using improved adaptive particle swarm optimization
Authors
S. B. Vinay Kumar
P. V. Rao
Manoj Kumar Singh
Publication date
09-07-2019
Publisher
Springer Berlin Heidelberg
Published in
Evolutionary Intelligence / Issue 2/2022
Print ISSN: 1864-5909
Electronic ISSN: 1864-5917
DOI
https://doi.org/10.1007/s12065-019-00256-z

Other articles of this Issue 2/2022

Evolutionary Intelligence 2/2022 Go to the issue

Premium Partner