Skip to main content
Top

2020 | OriginalPaper | Chapter

Optimising Operator Sets for Analytical Database Processing on FPGAs

Authors : Anna Drewes, Jan Moritz Joseph, Bala Gurumurthy, David Broneske, Gunter Saake, Thilo Pionteck

Published in: Applied Reconfigurable Computing. Architectures, Tools, and Applications

Publisher: Springer International Publishing

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

The high throughput and partial reconfiguration capabilities of modern FPGAs make them an attractive hardware platform for query processing in analytical database systems using overlay architectures. The design of existing systems is often solely based on hardware characteristics and thus does not account for all requirements of the application. In this paper, we identify two design issues impeding system integration of low-level database operators for runtime-reconfigurable overlay architectures on FPGAs: First, the granularity of operator sets within each processing pipeline; Second, the mapping of query (sub-)graphs to complex hardware operators. We solve these issues by modeling them as variants of the subgraph isomorphism problem. Via optimised operator fusion guided by a heuristic we reduce the number of required reconfigurable regions between 30% and 85% for relevant TPC-H database benchmark queries. This increase in area efficiency is achieved without performance penalties. In 86% of iterations of the operator fusion process, the proposed heuristic finds optimal candidates, which is 3.6\(\times \) more often than for a naive greedy approach.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Backasch, R., Hempel, G., Pionteck, T., Groppe, S., Werner, S.: An architectural template for composing application specific datapaths at runtime. In: ReConFig (2015) Backasch, R., Hempel, G., Pionteck, T., Groppe, S., Werner, S.: An architectural template for composing application specific datapaths at runtime. In: ReConFig (2015)
2.
go back to reference Becher, A., Ziener, D., Meyer-Wegener, K., Teich, J.: A co-design approach for accelerated SQL query processing via FPGA-based data filtering. In: FPT, pp. 192–195 (2015) Becher, A., Ziener, D., Meyer-Wegener, K., Teich, J.: A co-design approach for accelerated SQL query processing via FPGA-based data filtering. In: FPT, pp. 192–195 (2015)
3.
go back to reference Breß, S., Heimel, M., Saecker, M., Köcher, B., Markl, V., Saake, G.: Ocelot/HyPE: optimized data processing on heterogeneous hardware. PVLDB 7(13), 1609–1612 (2014) Breß, S., Heimel, M., Saecker, M., Köcher, B., Markl, V., Saake, G.: Ocelot/HyPE: optimized data processing on heterogeneous hardware. PVLDB 7(13), 1609–1612 (2014)
5.
go back to reference Broneske, D., Breß, S., Heimel, M., Saake, G.: Toward hardware-sensitive database operations. In: EDBT, pp. 229–234 (2014) Broneske, D., Breß, S., Heimel, M., Saake, G.: Toward hardware-sensitive database operations. In: EDBT, pp. 229–234 (2014)
6.
go back to reference Capalija, D., Abdelrahman, T.S.: A high-performance overlay architecture for pipelined execution of data flow graphs. In: FPL, pp. 1–8 (2013) Capalija, D., Abdelrahman, T.S.: A high-performance overlay architecture for pipelined execution of data flow graphs. In: FPL, pp. 1–8 (2013)
7.
8.
go back to reference Cook, S.A.: The complexity of theorem-proving procedures. In: ACM STOC, pp. 151–158 (1971) Cook, S.A.: The complexity of theorem-proving procedures. In: ACM STOC, pp. 151–158 (1971)
9.
go back to reference Dennl, C., Ziener, D., Teich, J.: On-the-fly composition of FPGA-based SQL query accelerators using a partially reconfigurable module library. In: FCCM, pp. 45–52 (2012) Dennl, C., Ziener, D., Teich, J.: On-the-fly composition of FPGA-based SQL query accelerators using a partially reconfigurable module library. In: FCCM, pp. 45–52 (2012)
10.
go back to reference Garey, M.R., Johnson, D.S.: Computers and Intractability: A Guide to the Theory of NP-Completeness. W. H. Freeman, New York (1979)MATH Garey, M.R., Johnson, D.S.: Computers and Intractability: A Guide to the Theory of NP-Completeness. W. H. Freeman, New York (1979)MATH
12.
go back to reference Halstead, R.J., et al.: Accelerating join operation for relational databases with FPGAs. In: FCCM, pp. 17–20 (2013) Halstead, R.J., et al.: Accelerating join operation for relational databases with FPGAs. In: FCCM, pp. 17–20 (2013)
13.
go back to reference He, B., et al.: Relational query coprocessing on graphics processors. ACM TODS 34(4), 21:1–21:39 (2009)CrossRef He, B., et al.: Relational query coprocessing on graphics processors. ACM TODS 34(4), 21:1–21:39 (2009)CrossRef
14.
go back to reference Heimel, M., Saecker, M., Pirk, H., Manegold, S., Markl, V.: Hardware-oblivious parallelism for in-memory column-stores. PVLDB 6(9), 709–720 (2013) Heimel, M., Saecker, M., Pirk, H., Manegold, S., Markl, V.: Hardware-oblivious parallelism for in-memory column-stores. PVLDB 6(9), 709–720 (2013)
15.
go back to reference Intel Corp.: Intel FPGA SDK for OpenCL Programming Guide (2017) Intel Corp.: Intel FPGA SDK for OpenCL Programming Guide (2017)
16.
go back to reference International Organization for Standardisation: ISO/IEC 9075 Information Technology - Database Languages - SQL (2016) International Organization for Standardisation: ISO/IEC 9075 Information Technology - Database Languages - SQL (2016)
17.
go back to reference Kim, I., Lipasti, M.H.: Macro-op scheduling: relaxing scheduling loop constraints. In: MICRO, pp. 277–290 (2003) Kim, I., Lipasti, M.H.: Macro-op scheduling: relaxing scheduling loop constraints. In: MICRO, pp. 277–290 (2003)
18.
go back to reference Koch, D., Tørresen, J.: FPGASort: a high performance sorting architecture exploiting run-time reconfiguration on FPGAs for large problem sorting. In: ACM SIGDA, pp. 45–54 (2011) Koch, D., Tørresen, J.: FPGASort: a high performance sorting architecture exploiting run-time reconfiguration on FPGAs for large problem sorting. In: ACM SIGDA, pp. 45–54 (2011)
19.
go back to reference Menon, P., Pavlo, A., Mowry, T.C.: Relaxed operator fusion for in-memory databases: making compilation, vectorization, and prefetching work together at last. PVLDB 11(1), 1–13 (2017) Menon, P., Pavlo, A., Mowry, T.C.: Relaxed operator fusion for in-memory databases: making compilation, vectorization, and prefetching work together at last. PVLDB 11(1), 1–13 (2017)
20.
go back to reference Neumann, T.: Efficiently compiling efficient query plans for modern hardware. PVLDB 4(9), 539–550 (2011) Neumann, T.: Efficiently compiling efficient query plans for modern hardware. PVLDB 4(9), 539–550 (2011)
21.
go back to reference Petric, V., Sha, T., Roth, A.: RENO - a rename-based instruction optimizer. In: ISCA, pp. 98–109 (2005) Petric, V., Sha, T., Roth, A.: RENO - a rename-based instruction optimizer. In: ISCA, pp. 98–109 (2005)
22.
go back to reference Pirk, H., Moll, O., Zaharia, M., Madden, S.: Voodoo - a vector algebra for portable database performance on modern hardware. PVLDB 9(14), 1707–1718 (2016) Pirk, H., Moll, O., Zaharia, M., Madden, S.: Voodoo - a vector algebra for portable database performance on modern hardware. PVLDB 9(14), 1707–1718 (2016)
24.
go back to reference Teubner, J., Woods, L.: Data Processing on FPGAs. Synthesis Lectures on Data Management. Morgan & Claypool Publishers, San Rafael (2013)CrossRef Teubner, J., Woods, L.: Data Processing on FPGAs. Synthesis Lectures on Data Management. Morgan & Claypool Publishers, San Rafael (2013)CrossRef
25.
go back to reference Transaction Processing Performance Council (TPC): TPC BENCHMARK H (Decision Support) Standard Specification (2017) Transaction Processing Performance Council (TPC): TPC BENCHMARK H (Decision Support) Standard Specification (2017)
26.
go back to reference Wahib, M., Maruyama, N.: Scalable kernel fusion for memory-bound GPU applications. In: SC, pp. 191–202 (2014) Wahib, M., Maruyama, N.: Scalable kernel fusion for memory-bound GPU applications. In: SC, pp. 191–202 (2014)
27.
go back to reference Wang, Z., He, B., Zhang, W.: A study of data partitioning on OpenCL-based FPGAs. In: FPL, pp. 1–8 (2015) Wang, Z., He, B., Zhang, W.: A study of data partitioning on OpenCL-based FPGAs. In: FPL, pp. 1–8 (2015)
28.
go back to reference Wang, Z., Paul, J., Cheah, H.Y., He, B., Zhang, W.: Relational query processing on OpenCL-based FPGAs. In: FPL, pp. 1–10 (2016) Wang, Z., Paul, J., Cheah, H.Y., He, B., Zhang, W.: Relational query processing on OpenCL-based FPGAs. In: FPL, pp. 1–10 (2016)
29.
go back to reference Xilinx Inc: SDAccel Development Environment User Guide (2016) Xilinx Inc: SDAccel Development Environment User Guide (2016)
30.
go back to reference Zhang, S., He, J., He, B., Lu, M.: OmniDB: towards portable and efficient query processing on parallel CPU/GPU architectures. PVLDB 6(12), 1374–1377 (2013) Zhang, S., He, J., He, B., Lu, M.: OmniDB: towards portable and efficient query processing on parallel CPU/GPU architectures. PVLDB 6(12), 1374–1377 (2013)
31.
go back to reference Ziener, D., et al.: FPGA-based dynamically reconfigurable SQL query processing. ACM TRETS 9(4), 25:1–25:24 (2016) Ziener, D., et al.: FPGA-based dynamically reconfigurable SQL query processing. ACM TRETS 9(4), 25:1–25:24 (2016)
Metadata
Title
Optimising Operator Sets for Analytical Database Processing on FPGAs
Authors
Anna Drewes
Jan Moritz Joseph
Bala Gurumurthy
David Broneske
Gunter Saake
Thilo Pionteck
Copyright Year
2020
DOI
https://doi.org/10.1007/978-3-030-44534-8_3