Skip to main content
Top

2013 | OriginalPaper | Chapter

5. Power Delivery Network Design for 3D IC

Author : Sung Kyu Lim

Published in: Design for High Performance, Low Power, and Reliable 3D Integrated Circuits

Publisher: Springer New York

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

In this chapter, we first study the impact of P/G TSVs on the power supply noise as well as 3D IC layouts. We perform sign-off static IR-drop analysis on GDSII layouts of 2D and 3D IC designs using commercial-grade tools. We also explore the impact of 3D P/G network topology on IR-drop by varying P/G TSV pitch. Next, we study a non-regular P/G TSV placement algorithm to further reduce the number of P/G TSVs used, while satisfying the given IR-drop noise requirement. Compared with the conventional regular structure, our non-regular P/G TSV placement algorithm reduces the P/G TSV count, wirelength, and footprint area by 59.3, 3.4, and 3.5 % on average, respectively. Next, we study the TSV RC variation impact on 3D power delivery network (PDN). First, we model TSV RC variation due to process variation. Then, we perform sign-off power supply noise analysis of 3D PDN in GDSII layouts which contain power/ground (P/G) TSV RC variation model. We explore the effect of TSV RC variation range, the number of variation sources (P/G TSV count), the number of C4 bumps, and TSV size on the robustness of PDN under TSV RC variation. Our results show that TSV RC variations cause negligible influence on 3D PDN due to much smaller parasitic values of TSVs compared with that of entire PDN.
The materials presented in this chapter are based on [5, 6].

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference G.V. der Plas et al., Design issues and considerations for low-cost 3D TSV IC technology, in ISSCC on Digest Technical Papers, San Francisco, 2010 G.V. der Plas et al., Design issues and considerations for low-cost 3D TSV IC technology, in ISSCC on Digest Technical Papers, San Francisco, 2010
2.
go back to reference P. Enquist, G. Fountain, C. Petteway, A. Hollingsworth, H. Grady, Low cost of ownership scalable copper direct bond interconnect 3D IC technology for three dimensional integrated circuit applications, in IEEE International 3D System Integration Conference, San Francisco, 2009 P. Enquist, G. Fountain, C. Petteway, A. Hollingsworth, H. Grady, Low cost of ownership scalable copper direct bond interconnect 3D IC technology for three dimensional integrated circuit applications, in IEEE International 3D System Integration Conference, San Francisco, 2009
3.
go back to reference M.B. Healy, S.K. Lim, Power delivery system architecture for many-tier 3D systems, in IEEE Electronic Components and Technology Conference, Las Vegas, 2010 M.B. Healy, S.K. Lim, Power delivery system architecture for many-tier 3D systems, in IEEE Electronic Components and Technology Conference, Las Vegas, 2010
4.
go back to reference G. Huang, M. Bakir, A. Naeemi, H. Chen, J.D. Meindl, Power delivery for 3D chips stacks: physical modeling and design implication, in Proceedings of the IEEE Electrical Performance of Electronic Packaging, Atlanta, 2007, pp. 205–208 G. Huang, M. Bakir, A. Naeemi, H. Chen, J.D. Meindl, Power delivery for 3D chips stacks: physical modeling and design implication, in Proceedings of the IEEE Electrical Performance of Electronic Packaging, Atlanta, 2007, pp. 205–208
5.
go back to reference M. Jung, S.K. Lim, A study of IR-drop noise issues in 3D ICs with through-silicon-vias, in IEEE International 3D System Integration Conference, Münich, 2010 M. Jung, S.K. Lim, A study of IR-drop noise issues in 3D ICs with through-silicon-vias, in IEEE International 3D System Integration Conference, Münich, 2010
6.
go back to reference M. Jung, S. Panth, S.K. Lim, A study of TSV variation impact on power supply noise, in IEEE International Interconnect Technology Conference, San Jose, 2011 M. Jung, S. Panth, S.K. Lim, A study of TSV variation impact on power supply noise, in IEEE International Interconnect Technology Conference, San Jose, 2011
7.
go back to reference G. Katti, M. Stucchi, K.D. Meyer, W. Dehaene, Electrical modeling and characterization of through silicon via for three-dimensional ICs. IEEE Trans. Electron Device 57, 256–262 (2010)CrossRef G. Katti, M. Stucchi, K.D. Meyer, W. Dehaene, Electrical modeling and characterization of through silicon via for three-dimensional ICs. IEEE Trans. Electron Device 57, 256–262 (2010)CrossRef
8.
go back to reference N.H. Khan, S.M. Alam, S. Hassoun, System-level comparison of power delivery design for 2D and 3D ICs, in IEEE Electronic Components and Technology Conference, San Diego, 2009 N.H. Khan, S.M. Alam, S. Hassoun, System-level comparison of power delivery design for 2D and 3D ICs, in IEEE Electronic Components and Technology Conference, San Diego, 2009
9.
go back to reference D.H. Kim, K. Athikulwongse, S.K. Lim, A study of through-silicon-via impact on the 3D stacked IC layout, in Proceedings of the IEEE International Conference on Computer-Aided Design, San Jose, 2009 D.H. Kim, K. Athikulwongse, S.K. Lim, A study of through-silicon-via impact on the 3D stacked IC layout, in Proceedings of the IEEE International Conference on Computer-Aided Design, San Jose, 2009
10.
go back to reference Y.-J. Lee, M. Healy, S.K. Lim, Co-design of reliable signal and power interconnects in 3D stacked ICs, in Proceedings of the IEEE International Interconnect Technology Conference, Sapporo, 2009 Y.-J. Lee, M. Healy, S.K. Lim, Co-design of reliable signal and power interconnects in 3D stacked ICs, in Proceedings of the IEEE International Interconnect Technology Conference, Sapporo, 2009
11.
go back to reference D. Stark, M. Horowitz, Techniques for calculating currents and voltages in VLSI power supply networks. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 9, 126–132 (1990)CrossRef D. Stark, M. Horowitz, Techniques for calculating currents and voltages in VLSI power supply networks. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 9, 126–132 (1990)CrossRef
12.
go back to reference X.D.S. Tan, C.J.R. Shi, Fast power/ground network optimization based on equivalent circuit modeling, in Proceedings of the ACM Design Automation Conference, Las Vegas, 2001 X.D.S. Tan, C.J.R. Shi, Fast power/ground network optimization based on equivalent circuit modeling, in Proceedings of the ACM Design Automation Conference, Las Vegas, 2001
13.
go back to reference A.W. Topol, J.D. C.L. Tulipe, L. Shi, D.J. Frank, K. Bernstein, S.E. Steen, A. Kumar, G.U. Singco, A.M. Young, K.W. Guarini, M. Ieong, Three-dimensional integrated circuits. IBM J. Res. Dev. 50, 491–506 (2006)CrossRef A.W. Topol, J.D. C.L. Tulipe, L. Shi, D.J. Frank, K. Bernstein, S.E. Steen, A. Kumar, G.U. Singco, A.M. Young, K.W. Guarini, M. Ieong, Three-dimensional integrated circuits. IBM J. Res. Dev. 50, 491–506 (2006)CrossRef
14.
go back to reference H. Yu, J. Ho, L. He, Simultaneous power and thermal integrity driven via stapling in 3D ICs, in Proceedings of the IEEE International Conference on Computer-Aided Design, San Jose, 2006 H. Yu, J. Ho, L. He, Simultaneous power and thermal integrity driven via stapling in 3D ICs, in Proceedings of the IEEE International Conference on Computer-Aided Design, San Jose, 2006
Metadata
Title
Power Delivery Network Design for 3D IC
Author
Sung Kyu Lim
Copyright Year
2013
Publisher
Springer New York
DOI
https://doi.org/10.1007/978-1-4419-9542-1_5