Skip to main content
Top
Published in: Cluster Computing 4/2014

01-12-2014

Reexamining anomaly temporal behaviors in SPEC CPU workloads: self-similar or not?

Author: Qiang Zou

Published in: Cluster Computing | Issue 4/2014

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

This paper studies the correlation of memory accesses in high-performance computer systems from a time dependence perspective, and concludes that correlations in memory access-arrival times are inconsistent, either with little correlation or with evident and abundant correlations. Thus neither independent identically distributed or self-similar is appropriate to characterize all memory activities. For memory workload with evident correlations, we present both pictorial and statistical evidence that memory accesses have self-similar like behavior. In addition, we implement a memory access series generator in which the inputs are the measured properties of the available trace data. Experimental results show that this model can accurately emulate the complex access arrival behaviors in both workloads with little and strong correlations, particularly for the burstiness characteristics in the memory workloads.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Barroso, L. A., Gharachorloo, K. and Bugnion, E.: Memory system characterization of commercial workloads. In: Proceedings of the 25th International Symposium on Computer Architecture (ISCA), Barcelona, Spain, June 1998. Barroso, L. A., Gharachorloo, K. and Bugnion, E.: Memory system characterization of commercial workloads. In: Proceedings of the 25th International Symposium on Computer Architecture (ISCA), Barcelona, Spain, June 1998.
2.
go back to reference Lee, D., Crowley, P., Baer, J., Anderson, T., et al.: Execution characteristics of desktop applications on windows NT. In: Proceedings of the 25th International Symposium Computer Architecture (ISCA). Barcelona, Spain, June 1998. Lee, D., Crowley, P., Baer, J., Anderson, T., et al.: Execution characteristics of desktop applications on windows NT. In: Proceedings of the 25th International Symposium Computer Architecture (ISCA). Barcelona, Spain, June 1998.
3.
go back to reference Xu, Z., Sohoni, S., Min, R., Hu, Y.: An analysis of the cache performance of multimedia applications. IEEE Trans. Comput. 53(1), 20–38 (January 2004) Xu, Z., Sohoni, S., Min, R., Hu, Y.: An analysis of the cache performance of multimedia applications. IEEE Trans. Comput. 53(1), 20–38 (January 2004)
4.
go back to reference Liu, H. Li, R. Gao, Q., et al.: Characterizing memory behavior of XML data querying on CMP. In: Proceedings of the Workshop for Computer Architecture Evaluation of Commerical Workloads (CAECW’08). Liu, H. Li, R. Gao, Q., et al.: Characterizing memory behavior of XML data querying on CMP. In: Proceedings of the Workshop for Computer Architecture Evaluation of Commerical Workloads (CAECW’08).
5.
go back to reference Binkert, N.L., Dreslinski, R.G., Hsu, L.R., et al.: The m5 simulator: modeling networked systems. IEEE Micro 26(4), 52–60 (2006)CrossRef Binkert, N.L., Dreslinski, R.G., Hsu, L.R., et al.: The m5 simulator: modeling networked systems. IEEE Micro 26(4), 52–60 (2006)CrossRef
6.
go back to reference Burger, D. C. and Austin, T. M.: The simplescalar tool set, version 2.0. Technical Report CS-TR-97-1342. University of Wisconsin, Madison, June 1997. Burger, D. C. and Austin, T. M.: The simplescalar tool set, version 2.0. Technical Report CS-TR-97-1342. University of Wisconsin, Madison, June 1997.
7.
go back to reference Henning, J.: SPEC CPU2000: measuring CPU performance in the new millennium. IEEE Comput. 33(7), 28–35 (2000)CrossRef Henning, J.: SPEC CPU2000: measuring CPU performance in the new millennium. IEEE Comput. 33(7), 28–35 (2000)CrossRef
8.
go back to reference Jaleel, A.: Memory characterization of workloads using instrumentation-driven simulation-A pin-based memory characterization of the SPEC CPU2000 and SPEC CPU2006 benchmark suites. VSSAD Technical Report 2007. Jaleel, A.: Memory characterization of workloads using instrumentation-driven simulation-A pin-based memory characterization of the SPEC CPU2000 and SPEC CPU2006 benchmark suites. VSSAD Technical Report 2007.
9.
go back to reference Sair, S. and Charney, M.: Memory Behavior of the SPEC CPU2000 Benchmark Suite. IBM Thomas J. Watson Research Center Technical, Report RC-21852, October 2000. Sair, S. and Charney, M.: Memory Behavior of the SPEC CPU2000 Benchmark Suite. IBM Thomas J. Watson Research Center Technical, Report RC-21852, October 2000.
10.
go back to reference Ye, D., Ray, J., Kaeli, D.: Characterization of file I/O activity for SPEC CPU2006. ACM SIGARCH Comput. Archit. News 35(1), 112–117 (March 2007) Ye, D., Ray, J., Kaeli, D.: Characterization of file I/O activity for SPEC CPU2006. ACM SIGARCH Comput. Archit. News 35(1), 112–117 (March 2007)
11.
go back to reference Eeckhout, L., Bell Jr., R. H., Stougie, B., et al. : Control flow modeling in statistical simulation for accurate and efficient processor design studies. In: Proceedings of the 31st International Symposium on Computer Architecture (ISCA), 2004. Eeckhout, L., Bell Jr., R. H., Stougie, B., et al. : Control flow modeling in statistical simulation for accurate and efficient processor design studies. In: Proceedings of the 31st International Symposium on Computer Architecture (ISCA), 2004.
12.
go back to reference Joshi, A., Eeckhout, L., Bell Jr., R. H. and John, L. K.: Performance cloning: a technique for disseminating proprietary applications as benchmarks. In: Proceedings of the IEEE International Symposium on Workload Characterization (IISWC’06), San Jose, California, October 2006. Joshi, A., Eeckhout, L., Bell Jr., R. H. and John, L. K.: Performance cloning: a technique for disseminating proprietary applications as benchmarks. In: Proceedings of the IEEE International Symposium on Workload Characterization (IISWC’06), San Jose, California, October 2006.
13.
go back to reference Bell Jr., R. H., Bhatia, R. R., John, L. K., et al.: Automatic testcase synthesis and performance model validation for high performance powerPC processors. In: Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), Austin, Texas, March 2006. Bell Jr., R. H., Bhatia, R. R., John, L. K., et al.: Automatic testcase synthesis and performance model validation for high performance powerPC processors. In: Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), Austin, Texas, March 2006.
14.
go back to reference Ganesan, K., Jo, J. and John, L. K.: Synthesizing memory-level parallelism aware miniature clones for SPEC CPU2006 and implantbench workloads. In: Proceedings of the 2010 International Symposium on Performance Analysis of Systems and Software (ISPASS). White Plains, NY, March 2010. Ganesan, K., Jo, J. and John, L. K.: Synthesizing memory-level parallelism aware miniature clones for SPEC CPU2006 and implantbench workloads. In: Proceedings of the 2010 International Symposium on Performance Analysis of Systems and Software (ISPASS). White Plains, NY, March 2010.
15.
go back to reference Li, T.: Using a multiscale approach to characterize workload dynamics. In: Proceedings of the Workshop on Modeling, Benchmarking and Simulation (MoBS), Madison, Wisconsin, June 2005. Li, T.: Using a multiscale approach to characterize workload dynamics. In: Proceedings of the Workshop on Modeling, Benchmarking and Simulation (MoBS), Madison, Wisconsin, June 2005.
16.
go back to reference Leland, W., Taqqu, M., Willinger, W., Wilson, D.: On the self-similar nature of ethernet traffic (extended version). IEEE/ACM Trans. Netw. 2(2), 1–15 (Feb. 1994) Leland, W., Taqqu, M., Willinger, W., Wilson, D.: On the self-similar nature of ethernet traffic (extended version). IEEE/ACM Trans. Netw. 2(2), 1–15 (Feb. 1994)
17.
go back to reference Beran, J., Sherman, R., Taqqu, M.S., Willinger, W.: Long-range dependence in variable-bit-rate video traffic. IEEE Trans. Commun. 43, 1566–1579 (Mar. 1995) Beran, J., Sherman, R., Taqqu, M.S., Willinger, W.: Long-range dependence in variable-bit-rate video traffic. IEEE Trans. Commun. 43, 1566–1579 (Mar. 1995)
18.
go back to reference Paxson, V., Floyd, S.: Wide-area traffic: the failure of poisson modeling. IEEE/ACM Trans. Netw. 3(3), 226–244 (1995)CrossRef Paxson, V., Floyd, S.: Wide-area traffic: the failure of poisson modeling. IEEE/ACM Trans. Netw. 3(3), 226–244 (1995)CrossRef
19.
go back to reference Willinger, W., Taqqu, M.S., Sherman, R., Wilson, D.V.: Self-similarity through high-variability: statistical analysis of ethernet lan traffic at the source level. IEEE/ACM Trans. Netw. 5(1), 71–86 (1997)CrossRef Willinger, W., Taqqu, M.S., Sherman, R., Wilson, D.V.: Self-similarity through high-variability: statistical analysis of ethernet lan traffic at the source level. IEEE/ACM Trans. Netw. 5(1), 71–86 (1997)CrossRef
20.
go back to reference Crovella, M.E., Bestavros, A.: Self-similarity in World Wide Web traffic: evidence and possible causes. IEEE/ACM Trans. Netw. 5(6), 835–846 (1997)CrossRef Crovella, M.E., Bestavros, A.: Self-similarity in World Wide Web traffic: evidence and possible causes. IEEE/ACM Trans. Netw. 5(6), 835–846 (1997)CrossRef
21.
go back to reference Gribble, S. Manku, G. and Brewer, E.: Self-similarity in high-level file systems: measurement and applications. In: Proceedings of the ACM SIGMETRICS’98, Madison, WI. June 1998. Gribble, S. Manku, G. and Brewer, E.: Self-similarity in high-level file systems: measurement and applications. In: Proceedings of the ACM SIGMETRICS’98, Madison, WI. June 1998.
22.
go back to reference Gomez, M. and Santonja, V.: Self-similarity in I/O workload: analysis and modeling. In: Proceedings of the 1st IEEE International Workshop on Workload Characterization (WWC’98), Dallas, Texas, November 1998. Gomez, M. and Santonja, V.: Self-similarity in I/O workload: analysis and modeling. In: Proceedings of the 1st IEEE International Workshop on Workload Characterization (WWC’98), Dallas, Texas, November 1998.
23.
go back to reference Gomez, M. and Santonja, V.: Analysis of self-similarity in I/O workload using structural modeling. In: Proceedings of the 8th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems (MASCOTS), College Park, Maryland, October 1999. Gomez, M. and Santonja, V.: Analysis of self-similarity in I/O workload using structural modeling. In: Proceedings of the 8th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems (MASCOTS), College Park, Maryland, October 1999.
24.
go back to reference Riska, A. and Riedel, E.: Long-range dependence at the disk drive level. In: Proceedings of the Third International Conference on the Quantitative Evaluation of Systems (QEST), University of California, Riverside, CA, September 2006. Riska, A. and Riedel, E.: Long-range dependence at the disk drive level. In: Proceedings of the Third International Conference on the Quantitative Evaluation of Systems (QEST), University of California, Riverside, CA, September 2006.
25.
go back to reference Riska, A. and Riedel, E.: Disk drive level workload characterization. In: Proceedings of the 2006 USENIX Annual Technical Conference, Boston, MA, June 2006. Riska, A. and Riedel, E.: Disk drive level workload characterization. In: Proceedings of the 2006 USENIX Annual Technical Conference, Boston, MA, June 2006.
26.
go back to reference Kavalanekar, S., Worthington, S., Zhang, Q., and Sharda, V.: Characterization of storage workload traces from production windows servers. In: Proceedings of the IEEE International Symposium on Workload Characterization (IISWC). Seattle, WA, September 2008. Kavalanekar, S., Worthington, S., Zhang, Q., and Sharda, V.: Characterization of storage workload traces from production windows servers. In: Proceedings of the IEEE International Symposium on Workload Characterization (IISWC). Seattle, WA, September 2008.
27.
go back to reference Chen, Y., Li, W., Lin, J., et al.: Memory characterization of emerging recognition-mining-synthesis workloads for multi-core processors. In: Proceedings of the Workshop for Computer Architecture Evaluation of Commerical Workloads (CAECW’08). Chen, Y., Li, W., Lin, J., et al.: Memory characterization of emerging recognition-mining-synthesis workloads for multi-core processors. In: Proceedings of the Workshop for Computer Architecture Evaluation of Commerical Workloads (CAECW’08).
28.
go back to reference Lin, J., Chen, Y., Li, W., et al.: Memory characterization of SPEC CPU2006 benchmark suite. In: Proceedings of the Workshop for Computer Architecture Evaluation of Commerical Workloads (CAECW’08). Lin, J., Chen, Y., Li, W., et al.: Memory characterization of SPEC CPU2006 benchmark suite. In: Proceedings of the Workshop for Computer Architecture Evaluation of Commerical Workloads (CAECW’08).
29.
go back to reference Charney, M., Puzak, T.: Prefetching and memory system behavior of the SPEC95 benchmark suite. IBM J. Res. Dev. 41(3), 265–286 (May 1997) Charney, M., Puzak, T.: Prefetching and memory system behavior of the SPEC95 benchmark suite. IBM J. Res. Dev. 41(3), 265–286 (May 1997)
30.
go back to reference Gee, J., Hill, M., Smith, A. J.: Cache performance of the SPEC benchmark suite. UC Berkeley, Technical Report: CSD-91-648, 1991. Gee, J., Hill, M., Smith, A. J.: Cache performance of the SPEC benchmark suite. UC Berkeley, Technical Report: CSD-91-648, 1991.
31.
go back to reference Korn, W., Chang, M. S.: SPEC CPU2006 sensitivity to memory page sizes. In: ACM SIGARCH newsletter, Computer Architecture News, Vol 35, No. 1, March 2007. Korn, W., Chang, M. S.: SPEC CPU2006 sensitivity to memory page sizes. In: ACM SIGARCH newsletter, Computer Architecture News, Vol 35, No. 1, March 2007.
32.
go back to reference Kim, Y., Papamichael, M., Mutlu, O., et al.: Thread cluster memory scheduling: exploiting differences in memory access behavior. In: Proceedings of the MICRO-43, Atlanta, GA, Dec. 2010. Kim, Y., Papamichael, M., Mutlu, O., et al.: Thread cluster memory scheduling: exploiting differences in memory access behavior. In: Proceedings of the MICRO-43, Atlanta, GA, Dec. 2010.
33.
go back to reference Kim, Y., Han, D., Mutlu, O., et al.: ATLAS: a scalable and high-performance scheduling algorithm for multiple memory controllers. In: Proceedings of the HPCA-16, Bangalore, India, Jan. 2010. Kim, Y., Han, D., Mutlu, O., et al.: ATLAS: a scalable and high-performance scheduling algorithm for multiple memory controllers. In: Proceedings of the HPCA-16, Bangalore, India, Jan. 2010.
34.
go back to reference Sahuquillo, J., Nachiondo, T. and Cano, J.: Self-similarity in splash-2 workloads on shared memory multiprocessors systems. In: Proceedings of the 26th EUROMICRO, Maastricht, The Netherlands. Sahuquillo, J., Nachiondo, T. and Cano, J.: Self-similarity in splash-2 workloads on shared memory multiprocessors systems. In: Proceedings of the 26th EUROMICRO, Maastricht, The Netherlands.
35.
go back to reference Lee, Y. and Kim, J.: Characterization of large-scale smtp traffic: the coexistence of the poisson process and selfsimilarity. In: Proceedings of the 16th Annual Meeting of the IEEE International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS), Baltimore, Maryland, September 2008. Lee, Y. and Kim, J.: Characterization of large-scale smtp traffic: the coexistence of the poisson process and selfsimilarity. In: Proceedings of the 16th Annual Meeting of the IEEE International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS), Baltimore, Maryland, September 2008.
36.
go back to reference Henning, J.: SPEC CPU2006 benchmark descriptions. ACM SIGARCH Comput. Archit. News 34(4), 1–17 (September 2006) Henning, J.: SPEC CPU2006 benchmark descriptions. ACM SIGARCH Comput. Archit. News 34(4), 1–17 (September 2006)
37.
go back to reference Wang, D., Ganesh, B., Tuaycharoen, N., et al.: Dramsim: a memory system simulator. SIGARCH Comput. Archit. News 33(4), 100–107 (2005)CrossRef Wang, D., Ganesh, B., Tuaycharoen, N., et al.: Dramsim: a memory system simulator. SIGARCH Comput. Archit. News 33(4), 100–107 (2005)CrossRef
39.
go back to reference Zhang, J., Sivasubramaniam, A., Franke, H., et al.: Synthesizing representative I/O workloads for TPC-H. In: Proceedings of the 10th International Symposium on High Performance Computer Architecture (HPCA-10), Madrid, Spain, February 2004. Zhang, J., Sivasubramaniam, A., Franke, H., et al.: Synthesizing representative I/O workloads for TPC-H. In: Proceedings of the 10th International Symposium on High Performance Computer Architecture (HPCA-10), Madrid, Spain, February 2004.
40.
go back to reference Hong, B. and Madhyastha, T.: The relevance of long-range dependence in disk traffic and implications for trace synthesis. In: Proceedings of the IEEE Conference on Mass Storage Systems and Technologies (MSST), Monterey, CA, April 2005. Hong, B. and Madhyastha, T.: The relevance of long-range dependence in disk traffic and implications for trace synthesis. In: Proceedings of the IEEE Conference on Mass Storage Systems and Technologies (MSST), Monterey, CA, April 2005.
41.
go back to reference Wang, M. and Madhyastha, T., et al.: Data mining meets performance evaluation: fast algorithms for modeling bursty traffic. In: Proceedings of the 18th International Conference on Data Engineering (ICDE), San Jose, CA, February 2002. Wang, M. and Madhyastha, T., et al.: Data mining meets performance evaluation: fast algorithms for modeling bursty traffic. In: Proceedings of the 18th International Conference on Data Engineering (ICDE), San Jose, CA, February 2002.
42.
go back to reference Karagiannis, T., Faloutsos, M. and Riedi, R.: Long-range dependence: now you see it, now you don’t! In Proceedings of the GLOBECOM. Taipei, Taiwan, November 2002. Karagiannis, T., Faloutsos, M. and Riedi, R.: Long-range dependence: now you see it, now you don’t! In Proceedings of the GLOBECOM. Taipei, Taiwan, November 2002.
43.
go back to reference Norros, : On the use of Fractional Brownian Motion in the theory of connectionless networks. IEEE J. Sel. Areas Commun. (JSAC) 15, 200–208 (1997)CrossRef Norros, : On the use of Fractional Brownian Motion in the theory of connectionless networks. IEEE J. Sel. Areas Commun. (JSAC) 15, 200–208 (1997)CrossRef
44.
go back to reference Kurmas, Z., Keeton, K. and Mackenzie, K.: Synthesizing representative I/O workloads using iterative distillation. In: Proceedings of the 11th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems (MASCOTS), Orlando, Florida, 2003. Kurmas, Z., Keeton, K. and Mackenzie, K.: Synthesizing representative I/O workloads using iterative distillation. In: Proceedings of the 11th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems (MASCOTS), Orlando, Florida, 2003.
45.
go back to reference Garrett, M. W. and Willinger, W.: Analysis, modeling and generation of self-similar VBR video traffic. In: Proceedings of the ACM SIGCOMM’94 Conference on Communications Architectures, Protocols and Applications, London, UK, September 1994. Garrett, M. W. and Willinger, W.: Analysis, modeling and generation of self-similar VBR video traffic. In: Proceedings of the ACM SIGCOMM’94 Conference on Communications Architectures, Protocols and Applications, London, UK, September 1994.
46.
go back to reference Stathis, C., Maglaris, B.: Modelling the self-similar behaviour of network traffic. Comput. Netw. 34, 37–47 (2000)CrossRef Stathis, C., Maglaris, B.: Modelling the self-similar behaviour of network traffic. Comput. Netw. 34, 37–47 (2000)CrossRef
47.
go back to reference Zou, Q., Feng, D., Zhu, Y., et al.: A novel and generic model for synthesizing disk I/O traffic based on the alpha-stable process. In: Proceedings of the 16th Annual Meeting of the IEEE International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS), Baltimore, Maryland, September 2008. Zou, Q., Feng, D., Zhu, Y., et al.: A novel and generic model for synthesizing disk I/O traffic based on the alpha-stable process. In: Proceedings of the 16th Annual Meeting of the IEEE International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS), Baltimore, Maryland, September 2008.
48.
go back to reference Samorodnitsky, G., Taqqu, M.: Stable Non-Gaussian Random Processes: Stochastic Models with Infinite Variance. Chapman and Hall, New York (1994)MATH Samorodnitsky, G., Taqqu, M.: Stable Non-Gaussian Random Processes: Stochastic Models with Infinite Variance. Chapman and Hall, New York (1994)MATH
49.
go back to reference Liu, Z.J.: Computational Science Technique and Matlab. Science Press. Beijing, China (2001) Liu, Z.J.: Computational Science Technique and Matlab. Science Press. Beijing, China (2001)
Metadata
Title
Reexamining anomaly temporal behaviors in SPEC CPU workloads: self-similar or not?
Author
Qiang Zou
Publication date
01-12-2014
Publisher
Springer US
Published in
Cluster Computing / Issue 4/2014
Print ISSN: 1386-7857
Electronic ISSN: 1573-7543
DOI
https://doi.org/10.1007/s10586-014-0380-1

Other articles of this Issue 4/2014

Cluster Computing 4/2014 Go to the issue

Premium Partner