Skip to main content
Top
Published in: Real-Time Systems 4/2019

06-03-2019

Thermal-aware task allocation and scheduling for periodic real-time applications in mesh-based heterogeneous NoCs

Authors: Priyajit Mukherjee, Kokil Jain, Santanu Chattopadhyay

Published in: Real-Time Systems | Issue 4/2019

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

With continuous technology scaling, the power density and hence the temperature of Network-on-Chip (NoC) may increase rapidly. This in-turn degrades the performance of the chip and increases the chances of creating thermal hot-spots. Task allocation and scheduling (TAS) in NoC-based Multiprocessor Systems-on-Chip have significant effects on the energy consumption of the chip and the finish time of the application. Temperature profile of a chip depends on the power consumptions of the tiles and their relative positions. In this paper, we have proposed a simulated annealing based thermal-aware Task Allocation and Scheduling (TAS) method which jointly optimizes the task to core allocation and task-scheduling problem for the periodic real-time applications. It is a platform-based TAS procedure and is applicable for the Networks-on-Chip (NoCs) containing both the homogeneous and heterogeneous cores. Along with temperature minimization, our proposed method has also been applied with the objective of minimizing the finish time of the application. The trade-off between the application finish time and the peak temperature of the chip has also been analyzed in this work. An integer linear programming formulation for the TAS problem, mentioned in a recent literature, has been adopted to evaluate the accuracy of the solutions provided by our proposed method. We have also compared our method with a thermal-aware TAS technique proposed in a recent literature and found \(12.74\%\) and \(35.06\%\) improvements in the finish time of the application and the peak temperature of the chip respectively for a fully heterogeneous NoC-platform.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
go back to reference Borkar S (1999) Design challenges of technology scaling. IEEE Micro 19(4):23–29CrossRef Borkar S (1999) Design challenges of technology scaling. IEEE Micro 19(4):23–29CrossRef
go back to reference Chantem T, Hu XS, Dick RP (2011) Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs. IEEE Trans Very Large Scale Integr Syst 19(10):1884–1897CrossRef Chantem T, Hu XS, Dick RP (2011) Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs. IEEE Trans Very Large Scale Integr Syst 19(10):1884–1897CrossRef
go back to reference Chao HL, Chen YR, Tung SY, Hsiung PA, Chen SJ (2012) Congestion-aware scheduling for NoC-based reconfigurable systems. In: Design, automation test in Europe conference exhibition (DATE), 2012, pp 1561–1566 Chao HL, Chen YR, Tung SY, Hsiung PA, Chen SJ (2012) Congestion-aware scheduling for NoC-based reconfigurable systems. In: Design, automation test in Europe conference exhibition (DATE), 2012, pp 1561–1566
go back to reference Chaturvedi V, Singh A, Zhang W, Srikanthan T (2014) Thermal-aware task scheduling for peak temperature minimization under periodic constraint for 3d-MPSoCs. In: 2014 25th IEEE international symposium on rapid system prototyping (RSP), pp 107–113 Chaturvedi V, Singh A, Zhang W, Srikanthan T (2014) Thermal-aware task scheduling for peak temperature minimization under periodic constraint for 3d-MPSoCs. In: 2014 25th IEEE international symposium on rapid system prototyping (RSP), pp 107–113
go back to reference Coskun AK, Rosing TS, Whisnant KA, Gross KC (2008) Static and dynamic temperature-aware scheduling for multiprocessor socs. IEEE Trans Very Large Scale Integr Syst 16(9):1127–1140CrossRef Coskun AK, Rosing TS, Whisnant KA, Gross KC (2008) Static and dynamic temperature-aware scheduling for multiprocessor socs. IEEE Trans Very Large Scale Integr Syst 16(9):1127–1140CrossRef
go back to reference Cox M, Singh AK, Kumar A, Corporaal H (2013) Thermal-aware mapping of streaming applications on 3d multi-processor systems. In: 2013 IEEE 11th symposium on embedded systems for real-time multimedia (ESTIMedia), pp 11–20 Cox M, Singh AK, Kumar A, Corporaal H (2013) Thermal-aware mapping of streaming applications on 3d multi-processor systems. In: 2013 IEEE 11th symposium on embedded systems for real-time multimedia (ESTIMedia), pp 11–20
go back to reference Cui J, Maskell DL (2010) High level event driven thermal estimation for thermal aware task allocation and scheduling. In: 2010 15th Asia and South Pacific in design automation conference (ASP-DAC), pp 793–798 Cui J, Maskell DL (2010) High level event driven thermal estimation for thermal aware task allocation and scheduling. In: 2010 15th Asia and South Pacific in design automation conference (ASP-DAC), pp 793–798
go back to reference Cui Y, Zhang W, Chaturvedi V, Liu W, He B (2014) Thermal-aware task scheduling for 3d-network-on-chip: A bottom-to-top scheme. In: 2014 14th international symposium on integrated circuits (ISIC), pp 224–227 Cui Y, Zhang W, Chaturvedi V, Liu W, He B (2014) Thermal-aware task scheduling for 3d-network-on-chip: A bottom-to-top scheme. In: 2014 14th international symposium on integrated circuits (ISIC), pp 224–227
go back to reference Fangfa F, Yuxin B, Xinaan H, jinxiang W, Minyan Y, Jia Z (2010) An objective-flexible clustering algorithm for task mapping and scheduling on cluster-based NoC. In: 2010 10th Russian-Chinese symposium on laser physics and laser technologies (RCSLPLT) and 2010 academic symposium on optoelectronics technology (ASOT), pp 369–373 Fangfa F, Yuxin B, Xinaan H, jinxiang W, Minyan Y, Jia Z (2010) An objective-flexible clustering algorithm for task mapping and scheduling on cluster-based NoC. In: 2010 10th Russian-Chinese symposium on laser physics and laser technologies (RCSLPLT) and 2010 academic symposium on optoelectronics technology (ASOT), pp 369–373
go back to reference Gay DM (2011) User’s manual for cplex. Tech. rep., IBM Gay DM (2011) User’s manual for cplex. Tech. rep., IBM
go back to reference Goplen B, Sapatnekar SS (2006) Placement of thermal vias in 3-d ics using various thermal objectives. IEEE Trans Comput Aided Des Integr Circ Syst 25(4):692–709CrossRef Goplen B, Sapatnekar SS (2006) Placement of thermal vias in 3-d ics using various thermal objectives. IEEE Trans Comput Aided Des Integr Circ Syst 25(4):692–709CrossRef
go back to reference Hanafi MZM, Ismail FS, Rosli R (2015) Radial plate fins heat sink model design and optimization. In: 2015 10th Asian control conference (ASCC), pp 1–5 Hanafi MZM, Ismail FS, Rosli R (2015) Radial plate fins heat sink model design and optimization. In: 2015 10th Asian control conference (ASCC), pp 1–5
go back to reference He O, Dong S, Jang W, Bian J, Pan DZ (2012) Unism: Unified scheduling and mapping for general networks on chip. IEEE Trans Very Large Scale Integr Syst 20(8):1496–1509CrossRef He O, Dong S, Jang W, Bian J, Pan DZ (2012) Unism: Unified scheduling and mapping for general networks on chip. IEEE Trans Very Large Scale Integr Syst 20(8):1496–1509CrossRef
go back to reference Hu W, Tang X, Xie B, Chen T, Wang D (2010) An efficient power-aware optimization for task scheduling on NoC-based many-core system. In: 2010 IEEE 10th international conference on computer and information technology (CIT), pp 171–178 Hu W, Tang X, Xie B, Chen T, Wang D (2010) An efficient power-aware optimization for task scheduling on NoC-based many-core system. In: 2010 IEEE 10th international conference on computer and information technology (CIT), pp 171–178
go back to reference Huang J, Buckl C, Raabe A, Knoll A (2011) Energy-aware task allocation for network-on-chip based heterogeneous multiprocessor systems. In: 2011 19th Euromicro international conference on parallel, distributed and network-based processing (PDP), pp 447–454 Huang J, Buckl C, Raabe A, Knoll A (2011) Energy-aware task allocation for network-on-chip based heterogeneous multiprocessor systems. In: 2011 19th Euromicro international conference on parallel, distributed and network-based processing (PDP), pp 447–454
go back to reference Huang W, Ghosh S, Velusamy S, Sankaranarayanan K, Skadron K, Stan M (2006) Hotspot: a compact thermal modeling methodology for early-stage vlsi design. IEEE Trans Very Large Scale Integr Syst 14(5):501–513CrossRef Huang W, Ghosh S, Velusamy S, Sankaranarayanan K, Skadron K, Stan M (2006) Hotspot: a compact thermal modeling methodology for early-stage vlsi design. IEEE Trans Very Large Scale Integr Syst 14(5):501–513CrossRef
go back to reference Semiconductor Industry Association (2011) International technology roadmap for semiconductors (ITRS), technical report. (2011) Semiconductor Industry Association (2011) International technology roadmap for semiconductors (ITRS), technical report. (2011)
go back to reference JEDEC (2018) Arrhenius equation (for reliability), JEDEC Global Standards for the Microelectronics Industry JEDEC (2018) Arrhenius equation (for reliability), JEDEC Global Standards for the Microelectronics Industry
go back to reference Jantsch A, Tenhunen H (2007) Networks on Chip. Springer, New YorkMATH Jantsch A, Tenhunen H (2007) Networks on Chip. Springer, New YorkMATH
go back to reference Kagiyama Y, Okumura S, Yanagida K, Yoshimoto S, Nakata Y, Izumi S, Kawaguchi H, Yoshimoto M (2012) Bit error rate estimation in sram considering temperature fluctuation. In: 2012 13th International symposium on quality electronic design (ISQED), pp 516–519 Kagiyama Y, Okumura S, Yanagida K, Yoshimoto S, Nakata Y, Izumi S, Kawaguchi H, Yoshimoto M (2012) Bit error rate estimation in sram considering temperature fluctuation. In: 2012 13th International symposium on quality electronic design (ISQED), pp 516–519
go back to reference Khajekarimi E, Hashemi MR (2013) Energy-aware ilp formulation for application mapping on NoC based MPSoCs. In: 2013 21st Iranian conference on electrical engineering (ICEE), pp 1–5. IEEE Khajekarimi E, Hashemi MR (2013) Energy-aware ilp formulation for application mapping on NoC based MPSoCs. In: 2013 21st Iranian conference on electrical engineering (ICEE), pp 1–5. IEEE
go back to reference Kundu S, Chattopadhyay S (2014) Network-on-Chip. CRC Press, Boca Raton Kundu S, Chattopadhyay S (2014) Network-on-Chip. CRC Press, Boca Raton
go back to reference Liu Y, Yang Y, Hu J (2010) Clustering-based simultaneous task and voltage scheduling for NoC systems. In: 2010 IEEE/ACM international conference on computer-aided design (ICCAD), pp 277–283 Liu Y, Yang Y, Hu J (2010) Clustering-based simultaneous task and voltage scheduling for NoC systems. In: 2010 IEEE/ACM international conference on computer-aided design (ICCAD), pp 277–283
go back to reference Raina A, Muthukumar V (2009) Traffic aware scheduling algorithm for network on chip. In: Sixth international conference on information technology: new generations, 2009. ITNG ’09, pp 877–882 Raina A, Muthukumar V (2009) Traffic aware scheduling algorithm for network on chip. In: Sixth international conference on information technology: new generations, 2009. ITNG ’09, pp 877–882
go back to reference Roy K, Mukhopadhyay S, Mahmoodi-Meimand H (2003) Leakage current mechanisms and leakage reduction techniques in deep-submicrometer cmos circuits. Proc IEEE 91(2):305–327CrossRef Roy K, Mukhopadhyay S, Mahmoodi-Meimand H (2003) Leakage current mechanisms and leakage reduction techniques in deep-submicrometer cmos circuits. Proc IEEE 91(2):305–327CrossRef
go back to reference Rudi A, Bartolini A, Lodi A, Benini L (2014) Optimum: thermal-aware task allocation for heterogeneous many-core devices. In: 2014 International conference on high performance computing simulation (HPCS), pp 82–87 Rudi A, Bartolini A, Lodi A, Benini L (2014) Optimum: thermal-aware task allocation for heterogeneous many-core devices. In: 2014 International conference on high performance computing simulation (HPCS), pp 82–87
go back to reference Seo E, Jeong J, Park S, Lee J (2008) Energy efficient scheduling of real-time tasks on multicore processors. IEEE Trans Parallel Distrib Syst 19(11):1540–1552CrossRef Seo E, Jeong J, Park S, Lee J (2008) Energy efficient scheduling of real-time tasks on multicore processors. IEEE Trans Parallel Distrib Syst 19(11):1540–1552CrossRef
go back to reference Sheikh HF, Ahmad I (2013) Dynamic task graph scheduling on multicore processors for performance, energy, and temperature optimization. In: 2013 International on green computing conference (IGCC), pp 1–6 Sheikh HF, Ahmad I (2013) Dynamic task graph scheduling on multicore processors for performance, energy, and temperature optimization. In: 2013 International on green computing conference (IGCC), pp 1–6
go back to reference Skadron K, Stan M, HW, Velusami S (2003) Temperature-aware microarchitecture: Extended discussion and results. Tech. rep., Univ. of Virginia Dept. of Computer Science Tech. Report CS-2003-08 Skadron K, Stan M, HW, Velusami S (2003) Temperature-aware microarchitecture: Extended discussion and results. Tech. rep., Univ. of Virginia Dept. of Computer Science Tech. Report CS-2003-08
go back to reference Soumya J, Chattopadhyay S (2013) Application-specific network-on-chip synthesis with flexible router placement. J. Syst. Archit. 59(7):361–371CrossRef Soumya J, Chattopadhyay S (2013) Application-specific network-on-chip synthesis with flexible router placement. J. Syst. Archit. 59(7):361–371CrossRef
go back to reference Sridhar A, Vincenzi A, Ruggiero M, Brunschwiler T, Atienza D (2010) Compact transient thermal model for 3d ics with liquid cooling via enhanced heat transfer cavity geometries. In: 2010 16th International workshop on thermal investigations of ICs and systems (THERMINIC), pp 1–6 Sridhar A, Vincenzi A, Ruggiero M, Brunschwiler T, Atienza D (2010) Compact transient thermal model for 3d ics with liquid cooling via enhanced heat transfer cavity geometries. In: 2010 16th International workshop on thermal investigations of ICs and systems (THERMINIC), pp 1–6
go back to reference Vallerio K (2008) Task graphs for free (tgff v3.0). Technical Report Vallerio K (2008) Task graphs for free (tgff v3.0). Technical Report
go back to reference Varatkar G, Marculescu R (2003) Communication-aware task scheduling and voltage selection for total systems energy minimization. In: International conference on computer aided design, 2003. ICCAD-2003, pp 510–517 Varatkar G, Marculescu R (2003) Communication-aware task scheduling and voltage selection for total systems energy minimization. In: International conference on computer aided design, 2003. ICCAD-2003, pp 510–517
go back to reference Vecchi MP, Kirkpatrick S (1983) Global wiring by simulated annealing. IEEE Trans Comput Aided Des Integr Circ Syst 2(4):215–222CrossRef Vecchi MP, Kirkpatrick S (1983) Global wiring by simulated annealing. IEEE Trans Comput Aided Des Integr Circ Syst 2(4):215–222CrossRef
go back to reference Wang HS, Zhu X, Peh LS, Malik S (2003) Orion: a power-performance simulator for interconnection networks. In: 35th Annual IEEE/ACM international symposium on microarchitecture, 2002. (MICRO-35). Proceedings, pp 294–305 Wang HS, Zhu X, Peh LS, Malik S (2003) Orion: a power-performance simulator for interconnection networks. In: 35th Annual IEEE/ACM international symposium on microarchitecture, 2002. (MICRO-35). Proceedings, pp 294–305
go back to reference Wu Z, Fu F, Wang L, Wang J, Lai F (2011) Energy-aware dynamic scheduling for NoC-based MPSoCs. In: 2011 Academic international symposium on optoelectronics and microelectronics technology (AISOMT), pp 308–312 Wu Z, Fu F, Wang L, Wang J, Lai F (2011) Energy-aware dynamic scheduling for NoC-based MPSoCs. In: 2011 Academic international symposium on optoelectronics and microelectronics technology (AISOMT), pp 308–312
go back to reference Xie Y, Hung WL (2006) Temperature-aware task allocation and scheduling for embedded multiprocessor systems-on-chip (MPSoC) design. J VLSI Signal Process Syst Signal Image Video Technol 45(3):177–189CrossRef Xie Y, Hung WL (2006) Temperature-aware task allocation and scheduling for embedded multiprocessor systems-on-chip (MPSoC) design. J VLSI Signal Process Syst Signal Image Video Technol 45(3):177–189CrossRef
go back to reference Zhang Y, King CR, Zaveri J, Kim YJ, Sahu V, Joshi Y, Bakir MS (2011) Coupled electrical and thermal 3d ic centric microfluidic heat sink design and technology. In: 2011 IEEE 61st electronic components and technology conference (ECTC), pp 2037–2044 Zhang Y, King CR, Zaveri J, Kim YJ, Sahu V, Joshi Y, Bakir MS (2011) Coupled electrical and thermal 3d ic centric microfluidic heat sink design and technology. In: 2011 IEEE 61st electronic components and technology conference (ECTC), pp 2037–2044
go back to reference Zhou J, Wei T, Chen M, Yan J, Hu S, Ma Y (2015) Thermal-aware task scheduling for energy minimization in heterogeneous real-time MPSoC systems. IEEE Trans Comput Aided Des Integr Circ Syst PP(99):1–1 Zhou J, Wei T, Chen M, Yan J, Hu S, Ma Y (2015) Thermal-aware task scheduling for energy minimization in heterogeneous real-time MPSoC systems. IEEE Trans Comput Aided Des Integr Circ Syst PP(99):1–1
go back to reference Zhou X, Yang J, Xu Y, Zhang Y, Zhao J (2010) Thermal-aware task scheduling for 3d multicore processors. IEEE Trans Parallel Distrib Syst 21(1):60–71CrossRef Zhou X, Yang J, Xu Y, Zhang Y, Zhao J (2010) Thermal-aware task scheduling for 3d multicore processors. IEEE Trans Parallel Distrib Syst 21(1):60–71CrossRef
Metadata
Title
Thermal-aware task allocation and scheduling for periodic real-time applications in mesh-based heterogeneous NoCs
Authors
Priyajit Mukherjee
Kokil Jain
Santanu Chattopadhyay
Publication date
06-03-2019
Publisher
Springer US
Published in
Real-Time Systems / Issue 4/2019
Print ISSN: 0922-6443
Electronic ISSN: 1573-1383
DOI
https://doi.org/10.1007/s11241-019-09327-x

Other articles of this Issue 4/2019

Real-Time Systems 4/2019 Go to the issue

Premium Partner