Skip to main content
Top
Published in: Arabian Journal for Science and Engineering 3/2022

01-09-2021 | Research Article-Electrical Engineering

A Novel Low-Power Nonvolatile 8T1M SRAM Cell

Authors: Damyanti Singh, Kirti Gupta, Neeta Pandey

Published in: Arabian Journal for Science and Engineering | Issue 3/2022

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

In Static Random Access Memory (SRAM) that is most ubiquitous of portable devices, the power consumption is a major concern. The emerging nonvolatile device-based SRAM designs have shown reduced power consumption by enabling power down mode without the loss of data. This paper presents a novel nonvolatile SRAM cell that employs eight transistors and a single TiO2 memristor as a nonvolatile device. The proposed 8T1M has low write power consumption, low store/restore energy and does not require reset phase. The performance of the proposed cell is compared with existing 8 T nvSRAM cells to demonstrate its versatility over others. The proposed cell shows 99.7% and 47% reductions in write ‘0’ power consumption and store/restore energy, respectively, with respect to existing 8 T nvSRAM counterparts, while the corresponding improvement in write margins and average store/restore delay is 69% and 70%. The results at different power supply and technology nodes are also captured to validate the impeccable performance of the proposed cell.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Morifuji, E.; Yoshida, T.; Kanda, M.; Matsuda, S.; Yamada, S.; Matsuoka, F.: Supply and threshold-voltage trends for scaled logic and SRAM MOSFETs. IEEE Trans. Electron Dev. 53(6), 1427–1432 (2006)CrossRef Morifuji, E.; Yoshida, T.; Kanda, M.; Matsuda, S.; Yamada, S.; Matsuoka, F.: Supply and threshold-voltage trends for scaled logic and SRAM MOSFETs. IEEE Trans. Electron Dev. 53(6), 1427–1432 (2006)CrossRef
2.
go back to reference Kozma, R.; Pino, R. E.; Pazienza, G. E.: Advances in Neuromorphic Memristor Science and Applications. Springer Series in Cognitive and Neural Systems. (2012). Kozma, R.; Pino, R. E.; Pazienza, G. E.: Advances in Neuromorphic Memristor Science and Applications. Springer Series in Cognitive and Neural Systems. (2012).
3.
go back to reference Mohammad, B.; Homouz, D.; Elgabra, H.: Robust hybrid memristor-CMOS Memory Modeling and design. IEEE Trans Very Large Scale Integr. Syst. 21, 2069–2079 (2013)CrossRef Mohammad, B.; Homouz, D.; Elgabra, H.: Robust hybrid memristor-CMOS Memory Modeling and design. IEEE Trans Very Large Scale Integr. Syst. 21, 2069–2079 (2013)CrossRef
4.
go back to reference Kvatinsky, S.; Friedman, E.G.; Kolodny, A.; Weiser, U.C.: (2013) The desired memristor for circuit designers. IEEE Circ. Syst. Mag. 13, 17–22 (2013)CrossRef Kvatinsky, S.; Friedman, E.G.; Kolodny, A.; Weiser, U.C.: (2013) The desired memristor for circuit designers. IEEE Circ. Syst. Mag. 13, 17–22 (2013)CrossRef
5.
go back to reference Talukdar, A.; Radwan, A.G.; Salama, K.N.: Non linear dynamics of memristor based 3rd order oscillatory syst. Microelectron. J. 43, 169–175 (2012)CrossRef Talukdar, A.; Radwan, A.G.; Salama, K.N.: Non linear dynamics of memristor based 3rd order oscillatory syst. Microelectron. J. 43, 169–175 (2012)CrossRef
6.
go back to reference Adamatzky, C. L. : Memristor Networks. Springer International Publishing, Switzerland (2014)CrossRef Adamatzky, C. L. : Memristor Networks. Springer International Publishing, Switzerland (2014)CrossRef
7.
go back to reference Takashima, D.; Nagadomi, Y.; Hatsuda, K.; Watanabe, Y.: A 128 Mb Chain FeRAM and system design for HDD application and enhanced HDD performance. IEEE J. Solid State Circ . 46, 530–536 (2011)CrossRef Takashima, D.; Nagadomi, Y.; Hatsuda, K.; Watanabe, Y.: A 128 Mb Chain FeRAM and system design for HDD application and enhanced HDD performance. IEEE J. Solid State Circ . 46, 530–536 (2011)CrossRef
8.
go back to reference Qazi, M.; Clinton, M.; Bartling, S.; Chandrakasan, A.P.: A Low-Voltage 1 Mb FRAM in 0.13µm CMOS featuring time-to-digital sensing for expanded operating margin. IEEE Int. Solid State Circ. Conf. (ISSCC) 47, 141–150 (2012)CrossRef Qazi, M.; Clinton, M.; Bartling, S.; Chandrakasan, A.P.: A Low-Voltage 1 Mb FRAM in 0.13µm CMOS featuring time-to-digital sensing for expanded operating margin. IEEE Int. Solid State Circ. Conf. (ISSCC) 47, 141–150 (2012)CrossRef
9.
go back to reference Nebashi, R. et al.: A 90nm 12ns 32Mb 2T1MTJ MRAM. IEEE Int. Solid State Circ. Conf. (ISSCC). 462–463 (2009). Nebashi, R. et al.: A 90nm 12ns 32Mb 2T1MTJ MRAM. IEEE Int. Solid State Circ. Conf. (ISSCC). 462–463 (2009).
10.
go back to reference Lee, K.; Cho, B.; Kang, S.; Choi, B., et al.: A 90 nm 1.8V 512 Mb Diode-Switch PRAM with 266Mb/s read throughput. IEEE J. Solid State Circ. 43, 150–162 (2008)CrossRef Lee, K.; Cho, B.; Kang, S.; Choi, B., et al.: A 90 nm 1.8V 512 Mb Diode-Switch PRAM with 266Mb/s read throughput. IEEE J. Solid State Circ. 43, 150–162 (2008)CrossRef
11.
go back to reference Hanzawal, S. et al.: A 512kB embedded phase change memory with 416kB/s Write throughput at 100A cell write current. IEEE Int. Solid State Circ. Conf. (ISSCC) 474–475 (2007). Hanzawal, S. et al.: A 512kB embedded phase change memory with 416kB/s Write throughput at 100A cell write current. IEEE Int. Solid State Circ. Conf. (ISSCC) 474–475 (2007).
12.
go back to reference Kawahara, T. et al.: 2 Mb spin transfer torque RAM(SPRAM) with bit-by-bit bidirectional current write and parallelizing-direction current read. IEEE Int. Solid State Circ. Conf. (ISSCC) 18, 280–281 (2007) Kawahara, T. et al.: 2 Mb spin transfer torque RAM(SPRAM) with bit-by-bit bidirectional current write and parallelizing-direction current read. IEEE Int. Solid State Circ. Conf. (ISSCC) 18, 280–281 (2007)
13.
go back to reference Chua, L.: Memristor-The missing circuit element. IEEE Trans. Circ. Theory. 18, 507–519 (1971)CrossRef Chua, L.: Memristor-The missing circuit element. IEEE Trans. Circ. Theory. 18, 507–519 (1971)CrossRef
14.
go back to reference Williams, R.S.: How we found the missing memristor. IEEE Spectr. 45, 29–35 (2008)CrossRef Williams, R.S.: How we found the missing memristor. IEEE Spectr. 45, 29–35 (2008)CrossRef
15.
go back to reference Strukov, D.B.; Snider, G.S.; Stewart, D.R.; Williams, R.S.: The missing memristor found. Nature 453, 80–83 (2008)CrossRef Strukov, D.B.; Snider, G.S.; Stewart, D.R.; Williams, R.S.: The missing memristor found. Nature 453, 80–83 (2008)CrossRef
16.
go back to reference Wang, W.; Gibby, A.; Wang, Z.; Chen, T.W.; Fujita, S.; Griffin, P.; Nishi, Y.; Wong, S.: Nonvolatile SRAM cell. Tech. Dig. Int. Elect. Dev. Meet. IEDM. 1–4 (2006). Wang, W.; Gibby, A.; Wang, Z.; Chen, T.W.; Fujita, S.; Griffin, P.; Nishi, Y.; Wong, S.: Nonvolatile SRAM cell. Tech. Dig. Int. Elect. Dev. Meet. IEDM. 1–4 (2006).
17.
go back to reference Bazzi, H.; Harb, A.; Aziza, H.; Moreau, M.; Kassem, A.: RRAM-based non-volatile SRAM cell architectures for ultra-low-power applications. Analog Integr. Circ. Sign. Process. 106, 351–361 (2021)CrossRef Bazzi, H.; Harb, A.; Aziza, H.; Moreau, M.; Kassem, A.: RRAM-based non-volatile SRAM cell architectures for ultra-low-power applications. Analog Integr. Circ. Sign. Process. 106, 351–361 (2021)CrossRef
18.
go back to reference Singh, J.; Mohanty, S.P.; Pradhan, D.K.: Robust SRAM designs and analysis. Springer, New York (2013)CrossRef Singh, J.; Mohanty, S.P.; Pradhan, D.K.: Robust SRAM designs and analysis. Springer, New York (2013)CrossRef
19.
go back to reference Sheu, S.S.; Kuo; et.al: A ReRAM integrated 7T2R non-volatile SRAM for normally-off computing application. Proc. 2013 IEEE Asian Solid-State Circuits Conf. A-SSCC 2013. 245–248 (2013). Sheu, S.S.; Kuo; et.al: A ReRAM integrated 7T2R non-volatile SRAM for normally-off computing application. Proc. 2013 IEEE Asian Solid-State Circuits Conf. A-SSCC 2013. 245–248 (2013).
20.
go back to reference Singh, J.; Raj, B.: Design and investigation of 7T2M-NVSRAM with enhanced stability and temperature impact on store/restore energy. IEEE Trans Very Large Scale Integr. Syst. 27, 1322–1328 (2019)MathSciNetCrossRef Singh, J.; Raj, B.: Design and investigation of 7T2M-NVSRAM with enhanced stability and temperature impact on store/restore energy. IEEE Trans Very Large Scale Integr. Syst. 27, 1322–1328 (2019)MathSciNetCrossRef
21.
go back to reference Wei, W.; Namba, K.; Han, J.; Lombardi, F.: Design of a nonvolatile 7T1R SRAM cell for instant-on operation. IEEE Trans. Nanotechnol. 13, 905–916 (2014)CrossRef Wei, W.; Namba, K.; Han, J.; Lombardi, F.: Design of a nonvolatile 7T1R SRAM cell for instant-on operation. IEEE Trans. Nanotechnol. 13, 905–916 (2014)CrossRef
22.
go back to reference Lee A.; Chang, M.;, Lin, C.; Chen, C.; Ho, M.; Kuo, C.; Tseng, P.; Ku, S.S.D.Q.G.T.: RRAM-based 7T1R Nonvolatile SRAM with 2x Reduction in Store Energy and 94x Reduction in Restore Energy for Frequent-Off Instant-On Applications. in Proc. IEEE Symp. VLSI Circuits, Kyoto, Japan. C76–C77 (2015). Lee A.; Chang, M.;, Lin, C.; Chen, C.; Ho, M.; Kuo, C.; Tseng, P.; Ku, S.S.D.Q.G.T.: RRAM-based 7T1R Nonvolatile SRAM with 2x Reduction in Store Energy and 94x Reduction in Restore Energy for Frequent-Off Instant-On Applications. in Proc. IEEE Symp. VLSI Circuits, Kyoto, Japan. C76–C77 (2015).
23.
go back to reference Peng, C.; Xiao, S.; Lu, W.; Zhang, J.; Wu, X.; Chen, J.; Lin, Z.: Average 7T1R Nonvolatile SRAM with R/W Margin Enhanced for Low-Power Application. IEEE Trans. Very Large Scale Integr. Syst. 26, 584–588 (2017)CrossRef Peng, C.; Xiao, S.; Lu, W.; Zhang, J.; Wu, X.; Chen, J.; Lin, Z.: Average 7T1R Nonvolatile SRAM with R/W Margin Enhanced for Low-Power Application. IEEE Trans. Very Large Scale Integr. Syst. 26, 584–588 (2017)CrossRef
24.
go back to reference Lin, Z.; Wang, Y.; Peng, C.; Wu, X.; Li, X.; Chen, J.: Multiple Sharing 7T1R Nonvolatile SRAM with an Improved Read/Write Margin and Reliable Restore Yield. IEEE Trans Very Large Scale Integr Syst 28, 607–619 (2020)CrossRef Lin, Z.; Wang, Y.; Peng, C.; Wu, X.; Li, X.; Chen, J.: Multiple Sharing 7T1R Nonvolatile SRAM with an Improved Read/Write Margin and Reliable Restore Yield. IEEE Trans Very Large Scale Integr Syst 28, 607–619 (2020)CrossRef
25.
go back to reference Yamamoto, S.; Shuto Y.; Sugahara, S.: Nonvolatile SRAM (NV-SRAM) using functional MOSFET merged with resistive switching devices. Proc. Cust. Integr. Circuits Conf. 531–534 (2009). Yamamoto, S.; Shuto Y.; Sugahara, S.: Nonvolatile SRAM (NV-SRAM) using functional MOSFET merged with resistive switching devices. Proc. Cust. Integr. Circuits Conf. 531–534 (2009).
26.
go back to reference Turkyilmaz, O.; Onkaraiah, S.; Reyboz, M.; Clermidy, F.; Hraziia, A.C.; Portal, J.M.; Bocquet, M.: RRAM-based FPGA for “normally Off, Instantly On” applications. J. Parallel Distrib. Comput. 74, 2441–2451 (2014)CrossRef Turkyilmaz, O.; Onkaraiah, S.; Reyboz, M.; Clermidy, F.; Hraziia, A.C.; Portal, J.M.; Bocquet, M.: RRAM-based FPGA for “normally Off, Instantly On” applications. J. Parallel Distrib. Comput. 74, 2441–2451 (2014)CrossRef
27.
go back to reference Chiu, P.F.; Chang, M.F.; Wu, C.W.; Chuang, C.H.; Sheu, S.S.; Chen, Y.S.; Tsai, M.J.: Low store energy, low vddmin, 8t2r nonvolatile latch and sram with vertical-stacked resistive memory (Memristor) devices for low power mobile applications. IEEE J. Solid State Circ. 47, 1483–1496 (2012)CrossRef Chiu, P.F.; Chang, M.F.; Wu, C.W.; Chuang, C.H.; Sheu, S.S.; Chen, Y.S.; Tsai, M.J.: Low store energy, low vddmin, 8t2r nonvolatile latch and sram with vertical-stacked resistive memory (Memristor) devices for low power mobile applications. IEEE J. Solid State Circ. 47, 1483–1496 (2012)CrossRef
28.
go back to reference Tosson, A.M.S.: 8T1R : A Novel Low-power High-speed RRAM-based Non-volatile SRAM Design. 2016 Int. Gt. Lakes Symp. VLSI. 239–244 (2016). Tosson, A.M.S.: 8T1R : A Novel Low-power High-speed RRAM-based Non-volatile SRAM Design. 2016 Int. Gt. Lakes Symp. VLSI. 239–244 (2016).
29.
go back to reference Lin, Z.; Wang, Y.; Peng, C.; Lu, W.; Li, X.; Wu, X.; Chen, J.: Read-decoupled 8T1R non-volatile SRAM with dual-mode option and high restore yield. Electron. Lett. 55, 519–521 (2019)CrossRef Lin, Z.; Wang, Y.; Peng, C.; Lu, W.; Li, X.; Wu, X.; Chen, J.: Read-decoupled 8T1R non-volatile SRAM with dual-mode option and high restore yield. Electron. Lett. 55, 519–521 (2019)CrossRef
30.
go back to reference Singh, S.; Mishra, V.: Enhanced static noise margin and increased stability sram cell with emerging device memristor at 45-nm technology. Radioelectron. Commun. Syst. 61, 267–274 (2018)CrossRef Singh, S.; Mishra, V.: Enhanced static noise margin and increased stability sram cell with emerging device memristor at 45-nm technology. Radioelectron. Commun. Syst. 61, 267–274 (2018)CrossRef
31.
go back to reference Aly, R.E.; Bayoumi, M.A.: Low-Power Cache Design Using 7T SRAM Cell. IEEE Trans. Circ. Syst. II Express Briefs 54, 318–322 (2007)CrossRef Aly, R.E.; Bayoumi, M.A.: Low-Power Cache Design Using 7T SRAM Cell. IEEE Trans. Circ. Syst. II Express Briefs 54, 318–322 (2007)CrossRef
32.
go back to reference Biolek, D.; Di Ventra, M.; Pershin, Y.V.: Reliable SPICE simulations of memristors, memcapacitors and meminductors. Radioengineering. 22, 945–968 (2013) Biolek, D.; Di Ventra, M.; Pershin, Y.V.: Reliable SPICE simulations of memristors, memcapacitors and meminductors. Radioengineering. 22, 945–968 (2013)
33.
go back to reference Takeda, K.; Hagihara, Y.; Aimoto, Y.; Nomura, M.; Nakazawa, Y.; Ishii, T.; Kobatake, H.: A read-static-noise-margin-free SRAM cell for low-Vdd and high-speed application. IEEE J. Solid-State Circ. 41, 113–121 (2006)CrossRef Takeda, K.; Hagihara, Y.; Aimoto, Y.; Nomura, M.; Nakazawa, Y.; Ishii, T.; Kobatake, H.: A read-static-noise-margin-free SRAM cell for low-Vdd and high-speed application. IEEE J. Solid-State Circ. 41, 113–121 (2006)CrossRef
Metadata
Title
A Novel Low-Power Nonvolatile 8T1M SRAM Cell
Authors
Damyanti Singh
Kirti Gupta
Neeta Pandey
Publication date
01-09-2021
Publisher
Springer Berlin Heidelberg
Published in
Arabian Journal for Science and Engineering / Issue 3/2022
Print ISSN: 2193-567X
Electronic ISSN: 2191-4281
DOI
https://doi.org/10.1007/s13369-021-06035-2

Other articles of this Issue 3/2022

Arabian Journal for Science and Engineering 3/2022 Go to the issue

Research Article-Electrical Engineering

Optimal Power Flow for Hybrid AC/MTDC Systems

Premium Partners