Skip to main content
Top
Published in: Wireless Personal Communications 4/2022

29-10-2021

An Advanced Encryption Standard in Memory (AESIM) Efficient, High Performance S-box Based AES Encryption and Decryption Architecture on VLSI

Authors: R. Anusha Padmavathi, K. S. Dhanalakshmi

Published in: Wireless Personal Communications | Issue 4/2022

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

As CMOS(Complementary Metal Oxide Semiconductor) technology reaches its scaling threshold, evolving non-volatile memory approaches are becoming a hopeful substitutes to Dynamic RAM (i.e.) DRAM because of the low leakage power and improved scalability. Nonetheless, a new security flaw concerns the non-volatile central memory system. An intruder can easily access confidential memory records, as the non-volatility cause’s knowledge to be stored even after the electricity is off for a long time. While memory encryption in real-time is an efficient way for dealing with this vulnerability in memory accesses along with an exclusive Advanced Encryption Standard (AES) engine, runtime performance and overhead energy are involved. The proposed work is to combine the AES key and the ECC key alternately for encryption and decryption and hence, a quick and efficient application of AES in memory (AESIM) was proposed in the paper to encrypt the either a part of the memory or the entire only if it is needed. Instead of counting additional processing elements to the cost-sensitive memory, the intrinsic logic operating capability of NVM was utilized to employ the proposed AESIM technique. We take advantage of the assistances provided by the in-memory storage design (huge internal bandwidth and dramatic reduction in movement of data) to overcome the complexities of the bandwidth concentrated encryption method. S-box is utilized along with AESIM methodology for performing the encryption process and then the counter action of decryption is performed by the inverse S-box which is employed with the AESIM. By embracing the memory's massive parallelism, AESIM outperforms current methods with higher performance yet lower consumption of energy. The efficiency of the proposed AESIM technique is calculated for both encryption and decryption process by measuring the minimum time used, the minimum time taken for the time before clock delivery, the average time required for operation after clock, the latency and the amount of logic separately for the proposed AESIM encryption and decryption technique.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Tsai, K.-L., Leu, F.-Y., You, I., Chang, S.-W., Hu, S.-J., & Park, H. (2019). Low-Power AES Data Encryption Architecture for a LoRaWAN. IEEE Access, 7, 146348–146357.CrossRef Tsai, K.-L., Leu, F.-Y., You, I., Chang, S.-W., Hu, S.-J., & Park, H. (2019). Low-Power AES Data Encryption Architecture for a LoRaWAN. IEEE Access, 7, 146348–146357.CrossRef
2.
go back to reference Khan, S., Wilkerson, C., Lee, D., Alameldeen, A. R., & Mutlu, O. (2016). A case for memory content-based detection and mitigation of data-dependent failures in DRAM. IEEE Computer Architecture Letters, 16, 88–93.CrossRef Khan, S., Wilkerson, C., Lee, D., Alameldeen, A. R., & Mutlu, O. (2016). A case for memory content-based detection and mitigation of data-dependent failures in DRAM. IEEE Computer Architecture Letters, 16, 88–93.CrossRef
3.
go back to reference Sai, R. V., Upadhyay, H. N., Prabhu, M., & Saravanan, S. (2019). Undeviating adaptive sheltered cryptography (UASC) method based low power and high secure cache memory design. Microprocessors and Microsystems., 71, 102876.CrossRef Sai, R. V., Upadhyay, H. N., Prabhu, M., & Saravanan, S. (2019). Undeviating adaptive sheltered cryptography (UASC) method based low power and high secure cache memory design. Microprocessors and Microsystems., 71, 102876.CrossRef
4.
go back to reference Chen, Z., Pappas, N., & Kountouris, M. (2016). Probabilistic caching in wireless D2D networks: cache hit optimal versus throughput optimal. IEEE Communications Letters, 21, 584–587.CrossRef Chen, Z., Pappas, N., & Kountouris, M. (2016). Probabilistic caching in wireless D2D networks: cache hit optimal versus throughput optimal. IEEE Communications Letters, 21, 584–587.CrossRef
5.
go back to reference N. Nishikawa, H. Amano, and K. Iwai. 2017. "Implementation of bitsliced AES encryption on CUDA-enabled GPU," In International Conference on Network and System Security, Springer. 273-287 N. Nishikawa, H. Amano, and K. Iwai. 2017. "Implementation of bitsliced AES encryption on CUDA-enabled GPU," In International Conference on Network and System Security, Springer. 273-287
6.
go back to reference Xie, M., Li, S., Glova, AO., Hu, J., Wang, Y., Xie, Y., (2018). "AIM: Fast and energy-efficient AES in-memory implementation for emerging non-volatile main memory," in Design Automation & Test in Europe Conference & Exhibition (DATE) 2018 625 628 Xie, M., Li, S., Glova, AO., Hu, J., Wang, Y., Xie, Y., (2018). "AIM: Fast and energy-efficient AES in-memory implementation for emerging non-volatile main memory," in Design Automation & Test in Europe Conference & Exhibition (DATE) 2018 625 628
7.
go back to reference Feng, Y., & Agosto, D. E. (2019). Revisiting personal information management through information practices with activity tracking technology. Journal of the Association for Information Science and Technology., 70(12), 1352–1367.CrossRef Feng, Y., & Agosto, D. E. (2019). Revisiting personal information management through information practices with activity tracking technology. Journal of the Association for Information Science and Technology., 70(12), 1352–1367.CrossRef
8.
go back to reference Angizi, S., He, Z., Bagherzadeh, N., & Fan, D. (2017). Design and evaluation of a spintronic in-memory processing platform for nonvolatile data encryption. IEEE transactions on computer-aided design of integrated circuits and systems, 37, 1788–1801.CrossRef Angizi, S., He, Z., Bagherzadeh, N., & Fan, D. (2017). Design and evaluation of a spintronic in-memory processing platform for nonvolatile data encryption. IEEE transactions on computer-aided design of integrated circuits and systems, 37, 1788–1801.CrossRef
9.
go back to reference Xie, M., Li, S., Glova, A. O., Hu, J., & Xie, Y. (2018). Securing emerging nonvolatile main memory with fast and energy efficient AES In-memory implementation. IEEE Transactions on Very Large Scale Integration (VLSI) Systems., 26, 2443–2455.CrossRef Xie, M., Li, S., Glova, A. O., Hu, J., & Xie, Y. (2018). Securing emerging nonvolatile main memory with fast and energy efficient AES In-memory implementation. IEEE Transactions on Very Large Scale Integration (VLSI) Systems., 26, 2443–2455.CrossRef
10.
go back to reference Fan, D., Angizi, S., He, Z. (2017). "In-memory computing with spintronic devices" in IEEE Computer Society Annual Symposium on VLSI (ISVLSI). 683 688 Fan, D., Angizi, S., He, Z. (2017). "In-memory computing with spintronic devices" in IEEE Computer Society Annual Symposium on VLSI (ISVLSI). 683 688
11.
go back to reference Liu, D., Luo, X., Li, Y., Shao, Z., & Guan, Y. (2017). An energy-efficient encryption mechanism for NVM-based main memory in mobile systems. Journal of Systems Architecture, 76, 47–57.CrossRef Liu, D., Luo, X., Li, Y., Shao, Z., & Guan, Y. (2017). An energy-efficient encryption mechanism for NVM-based main memory in mobile systems. Journal of Systems Architecture, 76, 47–57.CrossRef
12.
go back to reference Zhao, W., Ha, Y., & Alioto, M. (2014). Novel self-body-biasing and statistical design for near-threshold circuits with ultra energy-efficient AES as case study. IEEE Transactions on Very Large Scale Integration (VLSI) Systems., 23, 1390–1401.CrossRef Zhao, W., Ha, Y., & Alioto, M. (2014). Novel self-body-biasing and statistical design for near-threshold circuits with ultra energy-efficient AES as case study. IEEE Transactions on Very Large Scale Integration (VLSI) Systems., 23, 1390–1401.CrossRef
13.
go back to reference Bui, D.-H., Puschini, D., Bacles-Min, S., Beigné, E., & Tran, X.-T. (2017). AES datapath optimization strategies for low-power low-energy multisecurity-level internet-of-things applications. IEEE Transactions on Very Large Scale Integration (VLSI) Systems., 25, 3281–3290.CrossRef Bui, D.-H., Puschini, D., Bacles-Min, S., Beigné, E., & Tran, X.-T. (2017). AES datapath optimization strategies for low-power low-energy multisecurity-level internet-of-things applications. IEEE Transactions on Very Large Scale Integration (VLSI) Systems., 25, 3281–3290.CrossRef
14.
go back to reference Prenat, G., Jabeur, K., Di Pendina.,G., Boulle, O., Gaudin, G., (2015). "Beyond STT-MRAM, spin orbit torque RAM SOT-MRAM for high speed and high reliability applications," in Spintronics-based Computing, ed: Springer. 145–157 Prenat, G., Jabeur, K., Di Pendina.,G., Boulle, O., Gaudin, G., (2015). "Beyond STT-MRAM, spin orbit torque RAM SOT-MRAM for high speed and high reliability applications," in Spintronics-based Computing, ed: Springer. 145–157
15.
go back to reference Wang, Y., Ni, L., Chang, C.-H., & Yu, H. (2016). DW-AES: A domain-wall nanowire-based AES for high throughput and energy-efficient data encryption in non-volatile memory. IEEE Transactions on Information Forensics and Security, 11, 2426–2440.CrossRef Wang, Y., Ni, L., Chang, C.-H., & Yu, H. (2016). DW-AES: A domain-wall nanowire-based AES for high throughput and energy-efficient data encryption in non-volatile memory. IEEE Transactions on Information Forensics and Security, 11, 2426–2440.CrossRef
16.
go back to reference Wang, Y., Yu, H., Ni, L., Huang, G.-B., Yan, M., Weng, C., et al. (2015). An energy-efficient nonvolatile in-memory computing architecture for extreme learning machine by domain-wall nanowire devices. IEEE Transactions on Nanotechnology, 14, 998–1012.CrossRef Wang, Y., Yu, H., Ni, L., Huang, G.-B., Yan, M., Weng, C., et al. (2015). An energy-efficient nonvolatile in-memory computing architecture for extreme learning machine by domain-wall nanowire devices. IEEE Transactions on Nanotechnology, 14, 998–1012.CrossRef
17.
go back to reference Dai, S., Li, H., & Zhang, F. (2016). Memory leakage-resilient searchable symmetric encryption. Future Generation Computer Systems, 62, 76–84.CrossRef Dai, S., Li, H., & Zhang, F. (2016). Memory leakage-resilient searchable symmetric encryption. Future Generation Computer Systems, 62, 76–84.CrossRef
18.
go back to reference Unterluggauer, T., Werner, M., & Mangard, S. (2019). MEAS: Memory encryption and authentication secure against side-channel attacks. Journal of cryptographic engineering, 9, 137–158.CrossRef Unterluggauer, T., Werner, M., & Mangard, S. (2019). MEAS: Memory encryption and authentication secure against side-channel attacks. Journal of cryptographic engineering, 9, 137–158.CrossRef
19.
go back to reference Yitbarek, S F., Austin, T., (2018). "Reducing the overhead of authenticated memory encryption using delta encoding and ECC memory," in 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC), 2018. 1–6 Yitbarek, S F., Austin, T., (2018). "Reducing the overhead of authenticated memory encryption using delta encoding and ECC memory," in 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC), 2018. 1–6
20.
go back to reference Xie, M., Wu, Y., Jia, Z., Hu, J. (2019). "In-memory AES Implementation for Emerging Non-Volatile Main Memory," in IEEE Computer Society Annual Symposium on VLSI (ISVLSI). 103 103 Xie, M., Wu, Y., Jia, Z., Hu, J. (2019). "In-memory AES Implementation for Emerging Non-Volatile Main Memory," in IEEE Computer Society Annual Symposium on VLSI (ISVLSI). 103 103
21.
go back to reference Mittal, S., & Alsalibi, A. I. (2018). A survey of techniques for improving security of non-volatile memories. Journal of Hardware and Systems Security, 2, 179–200.CrossRef Mittal, S., & Alsalibi, A. I. (2018). A survey of techniques for improving security of non-volatile memories. Journal of Hardware and Systems Security, 2, 179–200.CrossRef
22.
go back to reference Premkamal, P. K., Pasupuleti, S. K., & Alphonse, P. (2020). Efficient escrow-free CP-ABE with constant size ciphertext and secret key for big data storage in cloud. International Journal of Cloud Applications and Computing (IJCAC), 10, 28–45.CrossRef Premkamal, P. K., Pasupuleti, S. K., & Alphonse, P. (2020). Efficient escrow-free CP-ABE with constant size ciphertext and secret key for big data storage in cloud. International Journal of Cloud Applications and Computing (IJCAC), 10, 28–45.CrossRef
23.
go back to reference Yu, C., Li, J., Li, X., Ren, X., & Gupta, B. B. (2018). Four-image encryption scheme based on quaternion Fresnel transform, chaos and computer generated hologram. Multimedia Tools and Applications, 77, 4585–4608.CrossRef Yu, C., Li, J., Li, X., Ren, X., & Gupta, B. B. (2018). Four-image encryption scheme based on quaternion Fresnel transform, chaos and computer generated hologram. Multimedia Tools and Applications, 77, 4585–4608.CrossRef
24.
go back to reference Zheng, Q., Wang, X., Khan, M. K., Zhang, W., Gupta, B. B., & Guo, W. (2017). A lightweight authenticated encryption scheme based on chaotic scml for railway cloud service. IEEE Access, 6, 711–722.CrossRef Zheng, Q., Wang, X., Khan, M. K., Zhang, W., Gupta, B. B., & Guo, W. (2017). A lightweight authenticated encryption scheme based on chaotic scml for railway cloud service. IEEE Access, 6, 711–722.CrossRef
25.
go back to reference Li, Z., Zhao, M., Jiang, H., & Xu, Q. (2019). Keyword guessing on multi-user searchable encryption. International Journal of High Performance Computing and Networking, 14, 60–68.CrossRef Li, Z., Zhao, M., Jiang, H., & Xu, Q. (2019). Keyword guessing on multi-user searchable encryption. International Journal of High Performance Computing and Networking, 14, 60–68.CrossRef
26.
go back to reference Gupta, B. (2020). An efficient KP design framework of attribute-based searchable encryption for user level revocation in cloud. Concurrency and Computation: Practice and Experience., 32, e5291.CrossRef Gupta, B. (2020). An efficient KP design framework of attribute-based searchable encryption for user level revocation in cloud. Concurrency and Computation: Practice and Experience., 32, e5291.CrossRef
27.
go back to reference Gupta, B B., Li, K -C., Leung, V C., Psannis, K E., Yamaguchi, S., (2021). "Blockchain-assisted secure fine-grained searchable encryption for a cloud-based healthcare cyber-physical system," IEEE/CAA Journal of Automatica Sinica Gupta, B B., Li, K -C., Leung, V C., Psannis, K E., Yamaguchi, S., (2021). "Blockchain-assisted secure fine-grained searchable encryption for a cloud-based healthcare cyber-physical system," IEEE/CAA Journal of Automatica Sinica
28.
go back to reference Bansal, R., & Singh, V. K. (2020). Proposed technique for efficient cloud computing model in effective digital training towards sustainable livelihoods for unemployed youths. International journal of cloud applications and computing (IJCAC), 10, 13–27.CrossRef Bansal, R., & Singh, V. K. (2020). Proposed technique for efficient cloud computing model in effective digital training towards sustainable livelihoods for unemployed youths. International journal of cloud applications and computing (IJCAC), 10, 13–27.CrossRef
Metadata
Title
An Advanced Encryption Standard in Memory (AESIM) Efficient, High Performance S-box Based AES Encryption and Decryption Architecture on VLSI
Authors
R. Anusha Padmavathi
K. S. Dhanalakshmi
Publication date
29-10-2021
Publisher
Springer US
Published in
Wireless Personal Communications / Issue 4/2022
Print ISSN: 0929-6212
Electronic ISSN: 1572-834X
DOI
https://doi.org/10.1007/s11277-021-09278-2

Other articles of this Issue 4/2022

Wireless Personal Communications 4/2022 Go to the issue