Skip to main content
Top

2024 | OriginalPaper | Chapter

An Ultra Low Voltage Physical Unclonable Function Exploiting Body-Driven

Authors : Riccardo Della Sala, Davide Bellizia, Francesco Centurelli, Giuseppe Scotti, Alessandro Trifiletti

Published in: Proceedings of SIE 2023

Publisher: Springer Nature Switzerland

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

In this work we propose a 0.3V Physical Unclonable Function (PUF) exploiting body-driven feedbacks to provide digital outputs. The proposed PUF has been implemented and measured in a 130nm technology from ST-Microelectronics, showing state of the art performances.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
2.
go back to reference Deshmukh, S., Sonavane, S.S.: Security protocols for Internet of Things: asurvey. In: 2017 International Conference on Nextgen Electronic Technologies: Silicon to Software (ICNETS2), pp. 71–74. IEEE (2017) Deshmukh, S., Sonavane, S.S.: Security protocols for Internet of Things: asurvey. In: 2017 International Conference on Nextgen Electronic Technologies: Silicon to Software (ICNETS2), pp. 71–74. IEEE (2017)
3.
go back to reference Della Sala, R., Bellizia, D., Scotti, G.: High-throughput FPGA-compatible TRNG architecture exploiting multistimuli metastable cells. IEEE Trans. Circ. Syst. I: Regular Papers 69, 4886–4897 (2022) Della Sala, R., Bellizia, D., Scotti, G.: High-throughput FPGA-compatible TRNG architecture exploiting multistimuli metastable cells. IEEE Trans. Circ. Syst. I: Regular Papers 69, 4886–4897 (2022)
4.
go back to reference Della Sala, R., Bellizia, D., Scotti, G.: A Novel Ultra-Compact FPGA-Compatible TRNG Architecture Exploiting Latched Ring Oscillators. IEEE Trans. Circ. Syst. II: Express Briefs 69, 1672–1676 (2021) Della Sala, R., Bellizia, D., Scotti, G.: A Novel Ultra-Compact FPGA-Compatible TRNG Architecture Exploiting Latched Ring Oscillators. IEEE Trans. Circ. Syst. II: Express Briefs 69, 1672–1676 (2021)
5.
go back to reference Simmons, G.J.: A system for verifying user identity and authorization at the point-of sale or access. Cryptologia 8(1), 1–21 (1984)CrossRef Simmons, G.J.: A system for verifying user identity and authorization at the point-of sale or access. Cryptologia 8(1), 1–21 (1984)CrossRef
7.
go back to reference Yilmaz, Y., Gunn, S.R., Halak, B.: Lightweight PUF-based authentication protocol for IoT devices. In: 2018 IEEE 3rd International Verification and Security Workshop (IVSW), pp. 02–04. IEEE Yilmaz, Y., Gunn, S.R., Halak, B.: Lightweight PUF-based authentication protocol for IoT devices. In: 2018 IEEE 3rd International Verification and Security Workshop (IVSW), pp. 02–04. IEEE
8.
go back to reference Shao, X., Guo, Y., Guo, Y.: A PUF-based anonymous authentication protocol for wireless medical sensor networks. Wireless Netw. 28, 3753–3770 (2022)CrossRef Shao, X., Guo, Y., Guo, Y.: A PUF-based anonymous authentication protocol for wireless medical sensor networks. Wireless Netw. 28, 3753–3770 (2022)CrossRef
9.
go back to reference Lee, J., et al.: PUFTAP-IoT: PUF-based three-factor authentication protocol in IoT environment focused on sensing devices. Sensors 22, 7075 (2022)CrossRef Lee, J., et al.: PUFTAP-IoT: PUF-based three-factor authentication protocol in IoT environment focused on sensing devices. Sensors 22, 7075 (2022)CrossRef
10.
go back to reference Bansal, G., Naren, N., Chamola, V.: RAMA: real-time automobile mutual authentication protocol using PUF. In: 2020 International Conference on Information Networking (ICOIN), pp. 07–10. IEEE Bansal, G., Naren, N., Chamola, V.: RAMA: real-time automobile mutual authentication protocol using PUF. In: 2020 International Conference on Information Networking (ICOIN), pp. 07–10. IEEE
11.
go back to reference Della Sala, R., Scotti, G.: Exploiting the DD-Cell as an ultra-compact entropy source for an FPGA-based re-configurable PUF-TRNG architecture. IEEE Access 11, 86178–86195 (2023)CrossRef Della Sala, R., Scotti, G.: Exploiting the DD-Cell as an ultra-compact entropy source for an FPGA-based re-configurable PUF-TRNG architecture. IEEE Access 11, 86178–86195 (2023)CrossRef
12.
go back to reference Della Sala, R., Scotti, G.: A Novel FPGA implementation of the NAND-PUF with minimal resource usage and high reliability. Cryptography 7 18 (2023) Della Sala, R., Scotti, G.: A Novel FPGA implementation of the NAND-PUF with minimal resource usage and high reliability. Cryptography 7 18 (2023)
13.
go back to reference Della Sala, R., Scotti, G.: The DD-Cell: a double side entropic source exploitable as PUF and TRNG. In: 2022 17th Conference on Ph.D Research in Microelectronics and Electronics (PRIME), pp. 353–356. IEEE (June 2022) Della Sala, R., Scotti, G.: The DD-Cell: a double side entropic source exploitable as PUF and TRNG. In: 2022 17th Conference on Ph.D Research in Microelectronics and Electronics (PRIME), pp. 353–356. IEEE (June 2022)
14.
go back to reference Della Sala, R., Bellizia, D., Scotti, G.: A lightweight FPGA compatible weak-PUF primitive based on XOR Gates. IEEE Trans. Circ. Syst. II: Express Briefs 69, 2972–2976 (2022) Della Sala, R., Bellizia, D., Scotti, G.: A lightweight FPGA compatible weak-PUF primitive based on XOR Gates. IEEE Trans. Circ. Syst. II: Express Briefs 69, 2972–2976 (2022)
15.
go back to reference Della Sala, R., Bellizia, D., Scotti, G.: A novel ultra-compact FPGA PUF: The DD-PUF. Cryptography 5, 23 (2021)CrossRef Della Sala, R., Bellizia, D., Scotti, G.: A novel ultra-compact FPGA PUF: The DD-PUF. Cryptography 5, 23 (2021)CrossRef
16.
go back to reference Zhao, Q., Cao, Y., Zhao, X., Chang, C.H.: A current comparator based physical unclonable function with high reliability and energy efficiency. In: 2018 IEEE 23rd International Conference on Digital Signal Processing (DSP), pp. 1–4. IEEE (2018) Zhao, Q., Cao, Y., Zhao, X., Chang, C.H.: A current comparator based physical unclonable function with high reliability and energy efficiency. In: 2018 IEEE 23rd International Conference on Digital Signal Processing (DSP), pp. 1–4. IEEE (2018)
17.
go back to reference Della Sala, R., Bocciarelli, C., Centurelli, F., Spinogatti, V., Trifiletti, A.: A novel ultra-low voltage fully synthesizable comparator exploiting NAND gates. In: 2023 18th Conference on Ph.D Research in Microelectronics and Electronics (PRIME), pp. 21–24. IEEE (June 2023) Della Sala, R., Bocciarelli, C., Centurelli, F., Spinogatti, V., Trifiletti, A.: A novel ultra-low voltage fully synthesizable comparator exploiting NAND gates. In: 2023 18th Conference on Ph.D Research in Microelectronics and Electronics (PRIME), pp. 21–24. IEEE (June 2023)
18.
go back to reference Della Sala, R., Spinogatti, V., Bocciarelli, C., Centurelli, F., Trifiletti, A.: A 0.15-to-0.5 V body-driven dynamic comparator with rail-to-rail ICMR. J. Low Power Electron. Appli. 13 35 (2023) Della Sala, R., Spinogatti, V., Bocciarelli, C., Centurelli, F., Trifiletti, A.: A 0.15-to-0.5 V body-driven dynamic comparator with rail-to-rail ICMR. J. Low Power Electron. Appli. 13 35 (2023)
19.
go back to reference Alvarez, A.B., Zhao, W., Alioto, M.: Static physically unclonable functions for secure chip identification with 1.9-5.8% native bit instability at 0.6-1 V and 15 fJ/bit in 65 nm. IEEE J. Solid-State Circ. 51, 763–775 (2016)CrossRef Alvarez, A.B., Zhao, W., Alioto, M.: Static physically unclonable functions for secure chip identification with 1.9-5.8% native bit instability at 0.6-1 V and 15 fJ/bit in 65 nm. IEEE J. Solid-State Circ. 51, 763–775 (2016)CrossRef
20.
go back to reference Taneja, S., Alvarez, A.B., Alioto, M.: Fully synthesizable PUF featuring hysteresis and temperature compensation for 3.2% Native BER and 1.02 fJ/b in 40 nm. IEEE J. Solid-State Circ. 53, 2828–2839 (2018)CrossRef Taneja, S., Alvarez, A.B., Alioto, M.: Fully synthesizable PUF featuring hysteresis and temperature compensation for 3.2% Native BER and 1.02 fJ/b in 40 nm. IEEE J. Solid-State Circ. 53, 2828–2839 (2018)CrossRef
21.
go back to reference Della Sala, R., Centurelli, F., Scotti, G.: A novel differential to single-ended converter for ultra-low-voltage inverter-based OTAs. IEEE Access 10, 98179–98190 (2022)CrossRef Della Sala, R., Centurelli, F., Scotti, G.: A novel differential to single-ended converter for ultra-low-voltage inverter-based OTAs. IEEE Access 10, 98179–98190 (2022)CrossRef
22.
go back to reference Della Sala, R., Centurelli, F., Scotti, G.: Enabling ULV fully synthesizable analog circuits: the BA cell, a standard-cell-based building block for analog design. IEEE Trans. Circ. Syst. II: Express Briefs 69, 4689–4693 (2022) Della Sala, R., Centurelli, F., Scotti, G.: Enabling ULV fully synthesizable analog circuits: the BA cell, a standard-cell-based building block for analog design. IEEE Trans. Circ. Syst. II: Express Briefs 69, 4689–4693 (2022)
23.
go back to reference Centurelli, F., Della Sala, R., Scotti, G.: A standard-cell-based CMFB for fully synthesizable OTAs. J. Low Power Electron. Appli. 12, 27 (2022)CrossRef Centurelli, F., Della Sala, R., Scotti, G.: A standard-cell-based CMFB for fully synthesizable OTAs. J. Low Power Electron. Appli. 12, 27 (2022)CrossRef
24.
go back to reference Vatalaro, M., De Rose, R., Lanuzza, M., Crupi, F.: Static CMOS physically unclonable function based on 4T Voltage Divider With 0.6%-1.5% bit instability at 0.4-1.8 V operation in 180 nm. IEEE J. Solid-State Circ. 57, 2509–2520 (2022)CrossRef Vatalaro, M., De Rose, R., Lanuzza, M., Crupi, F.: Static CMOS physically unclonable function based on 4T Voltage Divider With 0.6%-1.5% bit instability at 0.4-1.8 V operation in 180 nm. IEEE J. Solid-State Circ. 57, 2509–2520 (2022)CrossRef
25.
go back to reference Zhao, Q., Wu, Y., Zhao, X., Cao, Y., Chang, C.-H.: A 1036–F2/Bit high reliability temperature compensated cross-coupled comparator-based PUF. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 28, 1449–1460 (2020) Zhao, Q., Wu, Y., Zhao, X., Cao, Y., Chang, C.-H.: A 1036–F2/Bit high reliability temperature compensated cross-coupled comparator-based PUF. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 28, 1449–1460 (2020)
26.
go back to reference Asghari, M., Guzman, M., Maghari, N.: Cross-coupled impedance-based physically unclonable function (PUF) With 1.06% native instability. IEEE Solid-State Circ. Lett. 3, 282–285 (2020)CrossRef Asghari, M., Guzman, M., Maghari, N.: Cross-coupled impedance-based physically unclonable function (PUF) With 1.06% native instability. IEEE Solid-State Circ. Lett. 3, 282–285 (2020)CrossRef
27.
go back to reference Zhao, X., et al.: A 124 fJ/Bit cascode current mirror array based PUF with 1.50% native unstable bit ratio. IEEE Trans. Circ. Syst. I Regul. Pap. 66, 3494–3503 (2019)CrossRef Zhao, X., et al.: A 124 fJ/Bit cascode current mirror array based PUF with 1.50% native unstable bit ratio. IEEE Trans. Circ. Syst. I Regul. Pap. 66, 3494–3503 (2019)CrossRef
28.
go back to reference Della Sala, R., Bellizia, D., Centurelli, F., Scotti, G.: A monostable physically unclonable function based on improved RCCMs with 0–1.56% native bit instability at 0.6-1.2 V and 0–75 \(^{circ}\)C. Electronics 12, 755 (2023) Della Sala, R., Bellizia, D., Centurelli, F., Scotti, G.: A monostable physically unclonable function based on improved RCCMs with 0–1.56% native bit instability at 0.6-1.2 V and 0–75 \(^{circ}\)C. Electronics 12, 755 (2023)
29.
go back to reference Mathew, S.K.: 16.2 A 0.19pJ/b PVT-variation-tolerant hybrid physically unclonable function circuit for 100% stable secure key generation in 22nm CMOS. In: 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pp. 09–13. IEEE Mathew, S.K.: 16.2 A 0.19pJ/b PVT-variation-tolerant hybrid physically unclonable function circuit for 100% stable secure key generation in 22nm CMOS. In: 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pp. 09–13. IEEE
30.
go back to reference Satpathy, S., et al.: A 4-fJ/b delay-hardened physically unclonable function circuit with selective bit destabilization in 14-nm trigate CMOS. IEEE J. Solid-State Circ. 52, 940–949 (2017)CrossRef Satpathy, S., et al.: A 4-fJ/b delay-hardened physically unclonable function circuit with selective bit destabilization in 14-nm trigate CMOS. IEEE J. Solid-State Circ. 52, 940–949 (2017)CrossRef
31.
go back to reference Yang, K., Dong, Q., Blaauw, D., Sylvester, D.: 8.3 A 553F2 2-transistor amplifier-based Physically unclonable function (PUF) with 1.67% native instability. In: 2017 IEEE International Solid-State Circuits Conference (ISSCC), pp. 05–09. IEEE Yang, K., Dong, Q., Blaauw, D., Sylvester, D.: 8.3 A 553F2 2-transistor amplifier-based Physically unclonable function (PUF) with 1.67% native instability. In: 2017 IEEE International Solid-State Circuits Conference (ISSCC), pp. 05–09. IEEE
Metadata
Title
An Ultra Low Voltage Physical Unclonable Function Exploiting Body-Driven
Authors
Riccardo Della Sala
Davide Bellizia
Francesco Centurelli
Giuseppe Scotti
Alessandro Trifiletti
Copyright Year
2024
DOI
https://doi.org/10.1007/978-3-031-48711-8_5