Skip to main content
Top

2020 | OriginalPaper | Chapter

5. ANNs as an Alternative for Automatic Analog IC Placement

Authors : João P. S. Rosa, Daniel J. D. Guerra, Nuno C. G. Horta, Ricardo M. F. Martins, Nuno C. C. Lourenço

Published in: Using Artificial Neural Networks for Analog Integrated Circuit Design Automation

Publisher: Springer International Publishing

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Layout generation is the task of the analog integrated circuit (IC) design flow that both lays the devices (i.e., placement), whose dimensions were previously determined for the selected topology, out in the chip and connects them (i.e., routing), creating the masks for future manufacturing. In this chapter, exploratory research using artificial neural networks (ANNs) is conducted to automate the placement task of analog IC layout design. The proposed methodology abstracts the need to explicitly deal with topological constraints by learning reusable design patterns from validated legacy layout designs. The ANNs are trained on a dataset of an analog amplifier containing thousands of placement solutions for 12 different and conflicting layout styles/guidelines and used to output different placement alternatives, for sizing solutions outside the training set, at push-button speed. Ultimately, the methodology can offer the opportunity to reuse all the existent legacy layout information, either generated by layout designers or electronic design automation (EDA) tools. In the first section of this chapter, the novel ideas proposed by this methodology are outlined. Additional detail on the circuit used to demonstrate the methodology, how the dataset is structured, the general architecture of the proposed ANN, and metrics used to evaluate the models is provided in Sect. 5.2. Afterward, in Sect. 5.3, the tests conducted to assess the viability of using an ANN to automatically generate analog IC layout placements are fully detailed. In Sect. 5.4, the conclusions taken from the developments described in this chapter and future research directions are outlined.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference H. Murata, K. Fujiyoshi, S. Nakatake, Kajitani. VLSI module placement based on rectangle-packing by the sequence-pair. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 15(12), 1518–1524 (1996)CrossRef H. Murata, K. Fujiyoshi, S. Nakatake, Kajitani. VLSI module placement based on rectangle-packing by the sequence-pair. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 15(12), 1518–1524 (1996)CrossRef
2.
go back to reference Y. Pang, F. Balasa, K. Lampaert, C.-K. Cheng, Block placement with symmetry constraints based on the o-tree non-slicing representation, in Proceedings ACM/IEEE Design Automation Conference (2000), pp. 464–467 Y. Pang, F. Balasa, K. Lampaert, C.-K. Cheng, Block placement with symmetry constraints based on the o-tree non-slicing representation, in Proceedings ACM/IEEE Design Automation Conference (2000), pp. 464–467
3.
go back to reference Y.-C. Chang, Y.-W. Chang, G.-M. Wu, S.-W. Wu, B*-trees: A new representation for nonslicing floorplans, in Proceedings of the 37th ACM/IEEE Design Automation Conference (DAC) (2000), pp. 458–463 Y.-C. Chang, Y.-W. Chang, G.-M. Wu, S.-W. Wu, B*-trees: A new representation for nonslicing floorplans, in Proceedings of the 37th ACM/IEEE Design Automation Conference (DAC) (2000), pp. 458–463
4.
go back to reference L. Jai-Ming, C. Yao-Wen, TCG: a transitive closure graph-based representation for non-slicing floorplans, in Proceedings of the 38th ACM/IEEE Design Automation Conference (DAC) (2001), pp. 764–769 L. Jai-Ming, C. Yao-Wen, TCG: a transitive closure graph-based representation for non-slicing floorplans, in Proceedings of the 38th ACM/IEEE Design Automation Conference (DAC) (2001), pp. 764–769
5.
go back to reference P.-H. Lin, Y.-W. Chang, S.-C. Lin, Analog placement based on symmetry-island formulation. IEEE Trans. Comput. Aided Des. (TCAD) 28(6), 791–804 (2009)CrossRef P.-H. Lin, Y.-W. Chang, S.-C. Lin, Analog placement based on symmetry-island formulation. IEEE Trans. Comput. Aided Des. (TCAD) 28(6), 791–804 (2009)CrossRef
7.
go back to reference R. Martins, N. Lourenço, R. Póvoa N. Horta, On the exploration of design tradeoffs in analog IC placement with layout-dependent effects. in International Conference on SMACD (Lausanne, Switzerland, 2019) R. Martins, N. Lourenço, R. Póvoa N. Horta, On the exploration of design tradeoffs in analog IC placement with layout-dependent effects. in International Conference on SMACD (Lausanne, Switzerland, 2019)
8.
go back to reference Y. Yilmaz, G. Dundar, Analog layout generator for CMOS circuits. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. (TCAD) 28(1), 32–45 (2009)CrossRef Y. Yilmaz, G. Dundar, Analog layout generator for CMOS circuits. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. (TCAD) 28(1), 32–45 (2009)CrossRef
9.
go back to reference R. Martins, N. Lourenco, N. Horta, Routing analog ICs using a multi-objective multi-constraint evolutionary approach. Analog. Integr. Circuits Signal Process. 78(1), 123–135 (2013)CrossRef R. Martins, N. Lourenco, N. Horta, Routing analog ICs using a multi-objective multi-constraint evolutionary approach. Analog. Integr. Circuits Signal Process. 78(1), 123–135 (2013)CrossRef
10.
go back to reference R. Martins, N. Lourenço, N. Horta, Multi-objective multi-constraint routing of analog ICs using a modified NSGA-II approach, in International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD) (Seville, Spain, 2012), pp. 65–68 R. Martins, N. Lourenço, N. Horta, Multi-objective multi-constraint routing of analog ICs using a modified NSGA-II approach, in International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD) (Seville, Spain, 2012), pp. 65–68
11.
go back to reference J. Cohn, J. Garrod, R.A. Rutenbar, L. Carley, Koan/Anagram II: new tools for device-level analog placement and routing. IEEE J. Solid-State Circ. (JSSC) 26(3), 330–342 (1991)CrossRef J. Cohn, J. Garrod, R.A. Rutenbar, L. Carley, Koan/Anagram II: new tools for device-level analog placement and routing. IEEE J. Solid-State Circ. (JSSC) 26(3), 330–342 (1991)CrossRef
12.
go back to reference K. Lampaert, G. Gielen, W. Sansen, A performance-driven placement tool for analog integrated circuits. IEEE J. Solid-State Circuits 30(7), 773–780 (1995)CrossRef K. Lampaert, G. Gielen, W. Sansen, A performance-driven placement tool for analog integrated circuits. IEEE J. Solid-State Circuits 30(7), 773–780 (1995)CrossRef
13.
go back to reference E. Malavasi, E. Charbon, E. Felt, A. Sangiovanni-Vincentelli, Automation of IC layout with analog constraints. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. (TCAD) 15(8), 923–942 (1996)CrossRef E. Malavasi, E. Charbon, E. Felt, A. Sangiovanni-Vincentelli, Automation of IC layout with analog constraints. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. (TCAD) 15(8), 923–942 (1996)CrossRef
14.
go back to reference N. Jangkrajarng, S. Bhattacharya, R. Hartono, C. Shi, IPRAIL—Intellectual property reuse-based analog IC layout automation. Integr. VLSI J. 36(4), 237–262 (2003)CrossRef N. Jangkrajarng, S. Bhattacharya, R. Hartono, C. Shi, IPRAIL—Intellectual property reuse-based analog IC layout automation. Integr. VLSI J. 36(4), 237–262 (2003)CrossRef
15.
go back to reference S. Bhattacharya, N. Jangkrajarng, C. Shi, Multilevel symmetry-constraint generation for retargeting large analog layouts. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. (TCAD) 25(6), 945–960 (2006)CrossRef S. Bhattacharya, N. Jangkrajarng, C. Shi, Multilevel symmetry-constraint generation for retargeting large analog layouts. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. (TCAD) 25(6), 945–960 (2006)CrossRef
16.
go back to reference R. Martins, N. Lourenço, N. Horta, Laygen II—Automatic analog ICs layout generator based on a template approach, in Genetic and Evolutionary Computation Conference (GECCO) (Philadelphia, USA, 2012) R. Martins, N. Lourenço, N. Horta, Laygen II—Automatic analog ICs layout generator based on a template approach, in Genetic and Evolutionary Computation Conference (GECCO) (Philadelphia, USA, 2012)
17.
go back to reference P.H. Wu, M.P.H. Lin, T.Y. Ho, Analog layout synthesis with knowledge mining, in 2015 European Conference on Circuit Theory and Design (ECCTD) (2015), pp. 1–4 P.H. Wu, M.P.H. Lin, T.Y. Ho, Analog layout synthesis with knowledge mining, in 2015 European Conference on Circuit Theory and Design (ECCTD) (2015), pp. 1–4
18.
go back to reference P.H. Wu, M.P.H. Lin, T.C. Chen, C.F. Yeh, X. Li, T.Y. Ho, A novel analog physical synthesis methodology integrating existent design expertise. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34(2), 199–212 (2015)CrossRef P.H. Wu, M.P.H. Lin, T.C. Chen, C.F. Yeh, X. Li, T.Y. Ho, A novel analog physical synthesis methodology integrating existent design expertise. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34(2), 199–212 (2015)CrossRef
19.
go back to reference R. Póvoa, et al., Single-stage amplifier biased by voltage-combiners with gain and energy-efficiency enhancement, in IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 65, no. 3 (2018)CrossRef R. Póvoa, et al., Single-stage amplifier biased by voltage-combiners with gain and energy-efficiency enhancement, in IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 65, no. 3 (2018)CrossRef
20.
go back to reference R. Martins, A. Canelas, N. Lourenço, N. Horta, On-the-fly exploration of placement templates for analog IC layout-aware sizing methodologies, in 2016 13th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), (2016), pp. 1–4 R. Martins, A. Canelas, N. Lourenço, N. Horta, On-the-fly exploration of placement templates for analog IC layout-aware sizing methodologies, in 2016 13th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), (2016), pp. 1–4
21.
go back to reference R. Martins, N. Lourenço, A. Canelas, N. Horta, Stochastic-based placement template generator for analog IC layout-aware synthesis. Integr., VLSI J. 58, 485–495 (2017)CrossRef R. Martins, N. Lourenço, A. Canelas, N. Horta, Stochastic-based placement template generator for analog IC layout-aware synthesis. Integr., VLSI J. 58, 485–495 (2017)CrossRef
22.
go back to reference D. Clevert, T. Unterthiner, S. Hochreiter, Fast and accurate deep network learning by exponential linear units (ELUs),” in International Conference on Learning Representations (2015), pp. 1–14 D. Clevert, T. Unterthiner, S. Hochreiter, Fast and accurate deep network learning by exponential linear units (ELUs),” in International Conference on Learning Representations (2015), pp. 1–14
23.
go back to reference D. Kingma, J. Ba, Adam: a method for stochastic optimization, in CoRR, abs/1412.6980 (2014) D. Kingma, J. Ba, Adam: a method for stochastic optimization, in CoRR, abs/1412.6980 (2014)
24.
go back to reference M. Abadi, et al., TensorFlow: large-scale machine learning on heterogeneous systems. 2015. Software available from tensorflow.org M. Abadi, et al., TensorFlow: large-scale machine learning on heterogeneous systems. 2015. Software available from tensorflow.org
25.
go back to reference Fabian Pedregosa et al., Scikit-learn: machine learning in python. J. Mach. Learn. Res. 12, 2825–2830 (2011)MathSciNetMATH Fabian Pedregosa et al., Scikit-learn: machine learning in python. J. Mach. Learn. Res. 12, 2825–2830 (2011)MathSciNetMATH
Metadata
Title
ANNs as an Alternative for Automatic Analog IC Placement
Authors
João P. S. Rosa
Daniel J. D. Guerra
Nuno C. G. Horta
Ricardo M. F. Martins
Nuno C. C. Lourenço
Copyright Year
2020
DOI
https://doi.org/10.1007/978-3-030-35743-6_5