Skip to main content
Top

2011 | OriginalPaper | Chapter

5. Circuit and System Level Single-Event Effects Modeling and Simulation

Author : Dan Alexandrescu

Published in: Soft Errors in Modern Electronic Systems

Publisher: Springer US

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

This chapter covers the behavior of complex circuits and systems in the presence of single-event effects, and the transformation of the related faults to errors and errors to functional failures. In addition, an overview of practical methods and techniques for single-event effects analysis is presented, attempting to help the reliability engineers to cope with the single-event rate constraints of modern designs.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Footnotes
1
We have improperly adopted the notion of SER and FIT (Chap.​ 3) to this context. SER actually means Soft Error Rate and should measure the number of genuine soft errors, that is, errors whose effects on the circuit operation are only determined by the function of the circuit. But an SET is a transient perturbation of a combinational circuit node, whose effect on the circuit depends on its occurrence time and its duration, as well as the structural implementation (net-list) and the temporal characteristics of the circuit. This is also the case for an SEU affecting a sequential element, as its impact on the circuit operation depends on the time of its occurrence and on the temporal characteristics of the circuit. Remember also that FIT means failure in time, while an SET or an SEU does not necessarily induce a failure.
 
2
This means that the latching probability of a pulse will be given by cw/(t setup + t hold), where cw is the part of the latching window covered by the pulse. This assumption may not be accurate enough for individual values of cw. When cw/(t setup + t hold) is close to 0, the latching probability of the pulse will be lower than cw/(t setup + t hold), while for cw/(t setup + t hold) close to 1, the latching probability will be larger than cw/(t setup + t hold). However, when considering the cumulative probability for the interval 0 < cw/(t setup + t hold) < 1, these differences will cancel one another at a large extent, resulting in a result of good accuracy.
 
3
For PWSET < t setup + t hold, the cancellation of the variances from this assumption may not work as well as was discussed in the case of SEUs.
 
Literature
1.
go back to reference “SEU Induced Errors Observed in Microprocessor Systems”. Asenek, V., et al. 1998, IEEE Transactions on Nuclear Science, Vol. 45, No. 6, p. 2876.CrossRef “SEU Induced Errors Observed in Microprocessor Systems”. Asenek, V., et al. 1998, IEEE Transactions on Nuclear Science, Vol. 45, No. 6, p. 2876.CrossRef
2.
go back to reference “Satellite Anomalies from Galactic Cosmic Rays”. Binder, D., Smith, E.C. and Holman, A.B. 1975, IEEE Transactions on Nuclear Science, Vols. NS-22, No. 6, pp. 2675–2680.CrossRef “Satellite Anomalies from Galactic Cosmic Rays”. Binder, D., Smith, E.C. and Holman, A.B. 1975, IEEE Transactions on Nuclear Science, Vols. NS-22, No. 6, pp. 2675–2680.CrossRef
3.
go back to reference “Single Event Upsets in Implantable Cardioverter Defibrillators”. Bradley, P.D. and Normand, E. 1998, IEEE Transactions on Nuclear Science, Vol. 45, No. 6, p. 2929.CrossRef “Single Event Upsets in Implantable Cardioverter Defibrillators”. Bradley, P.D. and Normand, E. 1998, IEEE Transactions on Nuclear Science, Vol. 45, No. 6, p. 2929.CrossRef
4.
go back to reference “Circuit Simulations of SEU and SET Disruptions by Means of an Empirical Model Built Thanks to a Set of 3D Mixed-Mode Device Simulation Responses”. Belhaddad, H., et al. 2006, RADECS2006. “Circuit Simulations of SEU and SET Disruptions by Means of an Empirical Model Built Thanks to a Set of 3D Mixed-Mode Device Simulation Responses”. Belhaddad, H., et al. 2006, RADECS2006.
5.
go back to reference “A New Approach for the Prediction of the Neutron-Induced SEU Rate”. Vial, C., et al. 1998, IEEE Transactions on Nuclear Science, Vol. 45, No. 6, p. 2915.CrossRef “A New Approach for the Prediction of the Neutron-Induced SEU Rate”. Vial, C., et al. 1998, IEEE Transactions on Nuclear Science, Vol. 45, No. 6, p. 2915.CrossRef
6.
go back to reference “Simulation Technologies for Cosmic Ray Neutron-Induced Soft Errors: Models and Simulation Systems”. Tosaka, Y., et al. 1999, IEEE Transactions on Nuclear Science, Vol. 46, No. 3, p. 774.MathSciNetCrossRef “Simulation Technologies for Cosmic Ray Neutron-Induced Soft Errors: Models and Simulation Systems”. Tosaka, Y., et al. 1999, IEEE Transactions on Nuclear Science, Vol. 46, No. 3, p. 774.MathSciNetCrossRef
7.
go back to reference “Soft Error Modeling and Mitigation” Tahoori, M. 2005, EMC Presentation. “Soft Error Modeling and Mitigation” Tahoori, M. 2005, EMC Presentation.
8.
go back to reference “Production and Propagation of Single-Event Transient in High-Speed Digital Logic ICs”. Dodd, P.E., et al. 2004, IEEE Transactions on Nuclear Science, Vol. 51, No. 6, pp. 3278–3284.CrossRef “Production and Propagation of Single-Event Transient in High-Speed Digital Logic ICs”. Dodd, P.E., et al. 2004, IEEE Transactions on Nuclear Science, Vol. 51, No. 6, pp. 3278–3284.CrossRef
9.
go back to reference “Single Event Transient Pulsewidth Measurements Using a Variable Temporal Latch Technique”. Eaton, P., et al. 2004, IEEE Transactions on Nuclear Science, Vol. 51, No. 6, pp. 3365–3369.CrossRef “Single Event Transient Pulsewidth Measurements Using a Variable Temporal Latch Technique”. Eaton, P., et al. 2004, IEEE Transactions on Nuclear Science, Vol. 51, No. 6, pp. 3365–3369.CrossRef
10.
go back to reference “Single Event Transients in Deep Submicron CMOS”. Hass, K.J. and Gambles, J.W. 1999, IEEE 42nd Midwest Symposium on Circuits and Systems, pp. 122–125. “Single Event Transients in Deep Submicron CMOS”. Hass, K.J. and Gambles, J.W. 1999, IEEE 42nd Midwest Symposium on Circuits and Systems, pp. 122–125.
11.
go back to reference “Single Event Transient Pulsewidths in Digital Microcircuits”. Gadlage, M.J., et al. 2004, IEEE Transactions on Nuclear Science, Vol. 51, No. 6, pp. 3285–3290.CrossRef “Single Event Transient Pulsewidths in Digital Microcircuits”. Gadlage, M.J., et al. 2004, IEEE Transactions on Nuclear Science, Vol. 51, No. 6, pp. 3285–3290.CrossRef
12.
go back to reference “Measurement of Single Event Transient Pulse Width Induced by Ionizing Radiations in CMOS Combinational Logic”. Perez, R., et al. 2006, RADECS Proceedings. “Measurement of Single Event Transient Pulse Width Induced by Ionizing Radiations in CMOS Combinational Logic”. Perez, R., et al. 2006, RADECS Proceedings.
13.
go back to reference “Contribution a la definition des specifications d’un outil d’aide a la conception automatique de systemes electroniques integres nanometriques robuste”. Doctoral Thesis, Perez, R. 2004. “Contribution a la definition des specifications d’un outil d’aide a la conception automatique de systemes electroniques integres nanometriques robuste”. Doctoral Thesis, Perez, R. 2004.
14.
go back to reference “Measuring the Width of Transient Pulses Induced by Ionising Radiation”. Nicolaidis, M. and Perez, R. 2003, Proceedings of the International Reliability Physics Symposium, p. 56. “Measuring the Width of Transient Pulses Induced by Ionising Radiation”. Nicolaidis, M. and Perez, R. 2003, Proceedings of the International Reliability Physics Symposium, p. 56.
15.
go back to reference “Modeling and Verification of Single Event Transients in Deep Submicron Technologies”. Gadlage, M.J. Schrimpf, R.D. Benedetto, J.M. Eaton, P.H. Turflinger, T.L., Proceedings of IEEE International Reliability Physics Symposium Proceedings, 2004, pp. 673–674 “Modeling and Verification of Single Event Transients in Deep Submicron Technologies”. Gadlage, M.J. Schrimpf, R.D. Benedetto, J.M. Eaton, P.H. Turflinger, T.L., Proceedings of IEEE International Reliability Physics Symposium Proceedings, 2004, pp. 673–674
16.
go back to reference “Modeling of Transients Caused by a Laser Attack on Smart Cards”, Leroy, D., Piestrak S.J., Monteiro F. and Dandache, A., Proc. IOLTS’05 – 12th IEEE Int. On-Line Testing Symposium, Saint Raphael, France, July 6–8, 2005. “Modeling of Transients Caused by a Laser Attack on Smart Cards”, Leroy, D., Piestrak S.J., Monteiro F. and Dandache, A., Proc. IOLTS’05 – 12th IEEE Int. On-Line Testing Symposium, Saint Raphael, France, July 6–8, 2005.
17.
go back to reference “Synthetic Soft Error Rate Simulation Considering Neutron-Induced Single Event Transient from Transistor to LSI-Chip Level”. Hane, M., Nakamura, H., Uemura H., et al. 2008, Proc. of SISPAD, pp. 365–368. “Synthetic Soft Error Rate Simulation Considering Neutron-Induced Single Event Transient from Transistor to LSI-Chip Level”. Hane, M., Nakamura, H., Uemura H., et al. 2008, Proc. of SISPAD, pp. 365–368.
18.
go back to reference “Study on Influence of Device Structure Dimensions and Profiles on Charge Collection Current Causing SET Pulse Leading to Soft Errors in Logic Circuits”. Tanaka, K., Nakamura, H., Uemura T., et al. 2009, Proc. of SISPAD. “Study on Influence of Device Structure Dimensions and Profiles on Charge Collection Current Causing SET Pulse Leading to Soft Errors in Logic Circuits”. Tanaka, K., Nakamura, H., Uemura T., et al. 2009, Proc. of SISPAD.
19.
go back to reference “Single Event Effects in Static and Dynamic Registers in a 0.25 μm CMOS Technology”. Faccio, F., et al. 1999, IEEE Transactions on Nuclear Science, Vol. 46, No. 6, pp. 1434–1439.CrossRef “Single Event Effects in Static and Dynamic Registers in a 0.25 μm CMOS Technology”. Faccio, F., et al. 1999, IEEE Transactions on Nuclear Science, Vol. 46, No. 6, pp. 1434–1439.CrossRef
20.
go back to reference “SEU Testing of a Novel Hardened Register Implemented Using Standard CMOS Technology”. Monnier, T., et al. 1999, IEEE Transactions on Nuclear Science, Vol. 46, No. 6, p. 1440.MathSciNetCrossRef “SEU Testing of a Novel Hardened Register Implemented Using Standard CMOS Technology”. Monnier, T., et al. 1999, IEEE Transactions on Nuclear Science, Vol. 46, No. 6, p. 1440.MathSciNetCrossRef
21.
go back to reference “Detailed Analysis of Secondary Ions’ Effect for the Calculation of Neutron-Induced SER in SRAMs”, Hubert, G., et al. 2001, IEEE Transactions on Nuclear Science, Vol. 48, No. 6. “Detailed Analysis of Secondary Ions’ Effect for the Calculation of Neutron-Induced SER in SRAMs”, Hubert, G., et al. 2001, IEEE Transactions on Nuclear Science, Vol. 48, No. 6.
22.
go back to reference “SEU Response of an Entire SRAM Cell Simulated as one Contiguos Three Dimensional Device Domain”. Roche, Ph., et al. 1998, IEEE Transactions on Nuclear Science, Vol. 45, No. 6, p. 2534.CrossRef “SEU Response of an Entire SRAM Cell Simulated as one Contiguos Three Dimensional Device Domain”. Roche, Ph., et al. 1998, IEEE Transactions on Nuclear Science, Vol. 45, No. 6, p. 2534.CrossRef
23.
go back to reference “Determination of Key Parameters for SEU Occurrence using 3-D Full Cell SRAM Simulations”. Roche, Ph., et al. 1999, IEEE Transactions on Nuclear Science, Vol. 46, No. 6, p. 1354.CrossRef “Determination of Key Parameters for SEU Occurrence using 3-D Full Cell SRAM Simulations”. Roche, Ph., et al. 1999, IEEE Transactions on Nuclear Science, Vol. 46, No. 6, p. 1354.CrossRef
24.
go back to reference “An Alpha Immune and Ultra Low Neutron SER High Density SRAM”. Roche, Ph., et al. 2004, Reliability Physics Symposium Proceedings, 42nd Annual, pp. 671–672. “An Alpha Immune and Ultra Low Neutron SER High Density SRAM”. Roche, Ph., et al. 2004, Reliability Physics Symposium Proceedings, 42nd Annual, pp. 671–672.
25.
go back to reference “Process Impact on SRAM alpha-Particle SEU Performance”. Xu, Y.Z., et al. 2004, Proceedings of IRPS. “Process Impact on SRAM alpha-Particle SEU Performance”. Xu, Y.Z., et al. 2004, Proceedings of IRPS.
26.
go back to reference “Alpha-Particle-Induced Soft Errors In Dynamic Memories”. May, T.C. and Woods, M.H. 1979, IEEE Transactions on Electron Devices, Vols. ED-26, No. 1, p. 39487. “Alpha-Particle-Induced Soft Errors In Dynamic Memories”. May, T.C. and Woods, M.H. 1979, IEEE Transactions on Electron Devices, Vols. ED-26, No. 1, p. 39487.
27.
go back to reference “Neutron Soft Error Rate Measurements in a 90-nm CMOS Process and Scaling Trends in SRAM from 0.25-μm to 90-nm Generation”. Hazucha, P., et al. 2003, Proceedings of IEDM. “Neutron Soft Error Rate Measurements in a 90-nm CMOS Process and Scaling Trends in SRAM from 0.25-μm to 90-nm Generation”. Hazucha, P., et al. 2003, Proceedings of IEDM.
28.
go back to reference “Soft Error Rate Increase for New Generations of SRAMs”. Granlund, T., Granbom, B. and Olsson, N. 2003, IEEE Transactions on Nuclear Science, Vol. 50, No. 6, pp. 2065–2069.CrossRef “Soft Error Rate Increase for New Generations of SRAMs”. Granlund, T., Granbom, B. and Olsson, N. 2003, IEEE Transactions on Nuclear Science, Vol. 50, No. 6, pp. 2065–2069.CrossRef
29.
go back to reference “Neutron-Induced SEU in Bulk and SOI SRAMs in Terrestrial Environment”. Baggio, J., et al. 2004, Reliability Physics Symposium Proceedings, Vol. 42nd Annual, pp. 677–678. “Neutron-Induced SEU in Bulk and SOI SRAMs in Terrestrial Environment”. Baggio, J., et al. 2004, Reliability Physics Symposium Proceedings, Vol. 42nd Annual, pp. 677–678.
30.
go back to reference “Low-Energy Neutron Sensitivity of Recent Generation SRAMs”. Armani, J.M., Simon, G. and Poirot, P. 2004, IEEE Transactions on Nuclear Science, Vol. 51, No. 5, pp. 2811–2816.CrossRef “Low-Energy Neutron Sensitivity of Recent Generation SRAMs”. Armani, J.M., Simon, G. and Poirot, P. 2004, IEEE Transactions on Nuclear Science, Vol. 51, No. 5, pp. 2811–2816.CrossRef
31.
go back to reference “Single Event Effects in Avionics”. Normand, E., IEEE Transactions on Nuclear Science, 1996, Vol. 43, Issue 2, Part 1, pp. 461–474.CrossRef “Single Event Effects in Avionics”. Normand, E., IEEE Transactions on Nuclear Science, 1996, Vol. 43, Issue 2, Part 1, pp. 461–474.CrossRef
32.
go back to reference “Single Event Upset at Ground Level”. Normand, E., IEEE Transactions on Nuclear Science, 1996, Vol. 43, Issue 6, Part 1, pp. 2742–2750.CrossRef “Single Event Upset at Ground Level”. Normand, E., IEEE Transactions on Nuclear Science, 1996, Vol. 43, Issue 6, Part 1, pp. 2742–2750.CrossRef
33.
go back to reference “SRAM SER in 90, 130 and 180 nm Bulk and SOI Technologies”. Cannon, Ethan H., et al. 2004, Proc. 42nd Int'l Reliability Physics Symp, p. 300. “SRAM SER in 90, 130 and 180 nm Bulk and SOI Technologies”. Cannon, Ethan H., et al. 2004, Proc. 42nd Int'l Reliability Physics Symp, p. 300.
34.
go back to reference “Characterization of Multi-Bit Soft Error Events in Advanced SRAMs”. Maiz, J. Hareland, S. Zhang, K. Armstrong, P., Proceedings of IEEE International Electron Devices Meeting 2003, pp. 21.4.1–21.4.4. “Characterization of Multi-Bit Soft Error Events in Advanced SRAMs”. Maiz, J. Hareland, S. Zhang, K. Armstrong, P., Proceedings of IEEE International Electron Devices Meeting 2003, pp. 21.4.1–21.4.4.
35.
go back to reference “Neutron Induced Single-word Multiple-bit Upset in SRAM”. Johansson, K., et al. 1999, IEEE Transactions on Nuclear Science, Vol. 46, No. 6, p. 1427.MathSciNetCrossRef “Neutron Induced Single-word Multiple-bit Upset in SRAM”. Johansson, K., et al. 1999, IEEE Transactions on Nuclear Science, Vol. 46, No. 6, p. 1427.MathSciNetCrossRef
36.
go back to reference “Analysis of Local and Global Transient Effects in a CMOS SRAM”. Gardic, F., et al. 1996, IEEE Transactions on Nuclear Science, Vols. Vol. 43, No. 3, p. 899.CrossRef “Analysis of Local and Global Transient Effects in a CMOS SRAM”. Gardic, F., et al. 1996, IEEE Transactions on Nuclear Science, Vols. Vol. 43, No. 3, p. 899.CrossRef
37.
go back to reference “Large System Soft Error Rate (SER) Qualification”. Eagan, D.J., et al. 1992, Proceedings of Custom Integrated Circuits Conference, pp. 18.2.1–18.2.4. “Large System Soft Error Rate (SER) Qualification”. Eagan, D.J., et al. 1992, Proceedings of Custom Integrated Circuits Conference, pp. 18.2.1–18.2.4.
38.
go back to reference “Simulating Single Event Transients in VDSM ICs for Ground Level Radiation”. Alexandrescu, D., Anghel, L. and Nicolaidis, M. 2004, Journal of Electronic Testing: Theory and Applications. “Simulating Single Event Transients in VDSM ICs for Ground Level Radiation”. Alexandrescu, D., Anghel, L. and Nicolaidis, M. 2004, Journal of Electronic Testing: Theory and Applications.
39.
go back to reference “Accurate and Efficient Analysis of Single Event Transients in VLSI Circuits”. Reorda, M.S. and Violante, M. 2003, Proceedings of the 9th IEEE International On-Line Testing Symposium. “Accurate and Efficient Analysis of Single Event Transients in VLSI Circuits”. Reorda, M.S. and Violante, M. 2003, Proceedings of the 9th IEEE International On-Line Testing Symposium.
40.
go back to reference “A Systematic Approach to SER Estimation and Solutions”. Nguyen, H.T. and Yagil, Y. 2003, Proceedings of the International Reliability Physics Symposium, pp. 60–70. “A Systematic Approach to SER Estimation and Solutions”. Nguyen, H.T. and Yagil, Y. 2003, Proceedings of the International Reliability Physics Symposium, pp. 60–70.
41.
go back to reference “Probabilistic Estimates of Upset Caused by Single Event Transients”. Hass, K.J. 1999, 8th NASA Symposium on VLSI Design, pp. 4.3.1–4.3.9. “Probabilistic Estimates of Upset Caused by Single Event Transients”. Hass, K.J. 1999, 8th NASA Symposium on VLSI Design, pp. 4.3.1–4.3.9.
42.
go back to reference “An Accurate SER Estimation Method Based on Propagation Probability”. Asadi, G. and Tahoori, M.B. 2005, Proceedings of Design, Automation and Test in Europe Conference. “An Accurate SER Estimation Method Based on Propagation Probability”. Asadi, G. and Tahoori, M.B. 2005, Proceedings of Design, Automation and Test in Europe Conference.
43.
go back to reference “On Testability Analysis of Combinational Networks”. Brglez, F. 1984, Proceedings of IEEE Symposium on Circuits and Systems, pp. 221–225. “On Testability Analysis of Combinational Networks”. Brglez, F. 1984, Proceedings of IEEE Symposium on Circuits and Systems, pp. 221–225.
44.
go back to reference “A Model for Transient Fault Propagation in Combinatorial Logic”. Omana, M., et al. 2003, Proceedings of the 9th IEEE International On-Line Testing Symposium. “A Model for Transient Fault Propagation in Combinatorial Logic”. Omana, M., et al. 2003, Proceedings of the 9th IEEE International On-Line Testing Symposium.
45.
go back to reference “High Performance Parallel Fault Simulation”. Varshney, A.K., et al. 2001, Proc. Intl. Conf. on Computer Design: VLSI in Computers & Processor (ICCD 01), Vols. 1063–6404/01. “High Performance Parallel Fault Simulation”. Varshney, A.K., et al. 2001, Proc. Intl. Conf. on Computer Design: VLSI in Computers & Processor (ICCD 01), Vols. 1063–6404/01.
46.
go back to reference “Data Parallel Fault Simulation”. Amin, M.B. and Vinnakota, B. 1995, Proc. Intl. Conf. on Computer Design: VLSI in Computers & Processor (ICCD 95), Vols. 1063-6404/95, pp. 610–616. “Data Parallel Fault Simulation”. Amin, M.B. and Vinnakota, B. 1995, Proc. Intl. Conf. on Computer Design: VLSI in Computers & Processor (ICCD 95), Vols. 1063-6404/95, pp. 610–616.
47.
go back to reference “Static Analysis of SEU Effects on Software Applications”. Benso, A., et al. 2002, Proceedings of the International Test Conference, pp. 500–508. “Static Analysis of SEU Effects on Software Applications”. Benso, A., et al. 2002, Proceedings of the International Test Conference, pp. 500–508.
48.
go back to reference “HOPE: An Efficient Parallel Fault Simulator for Synchronous Sequential Circuits”. Lee, H. and Ha, D.S. 1996, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 15, No 9, pp. 1048–1058.CrossRef “HOPE: An Efficient Parallel Fault Simulator for Synchronous Sequential Circuits”. Lee, H. and Ha, D.S. 1996, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 15, No 9, pp. 1048–1058.CrossRef
49.
go back to reference “An Efficient, Forward Fault Simulation Algorithm Based on the Parallel Pattern Single Fault Propagation”. Lee, H. and Ha, D.S. 1991, Proc. the IEEE Intl. Test Conf. on Test: Faster Better, Sooner, pp. 946–955. “An Efficient, Forward Fault Simulation Algorithm Based on the Parallel Pattern Single Fault Propagation”. Lee, H. and Ha, D.S. 1991, Proc. the IEEE Intl. Test Conf. on Test: Faster Better, Sooner, pp. 946–955.
50.
go back to reference “Fault List Compaction Through Static Timing Analysis for Efficient Fault Injection Experiments”. Reorda, M.S. and Violante, M. 2002, IEEE Symp. on Defect and Fault Tolerancein VLSI Systems, pp. 263–271. “Fault List Compaction Through Static Timing Analysis for Efficient Fault Injection Experiments”. Reorda, M.S. and Violante, M. 2002, IEEE Symp. on Defect and Fault Tolerancein VLSI Systems, pp. 263–271.
51.
go back to reference “Speeding-up Fault Injection Campaigns in VHDL models”. Parrotta, B., et al. 2000, 19th International Conference on Computer Safety, Reliability and Security, Safecomp, pp. 27–36. “Speeding-up Fault Injection Campaigns in VHDL models”. Parrotta, B., et al. 2000, 19th International Conference on Computer Safety, Reliability and Security, Safecomp, pp. 27–36.
52.
go back to reference “Emulation-based Fault Injection in Circuits with Embedded Memories”. García-Valderas, M., et al. 2006, Proceedings of IEEE International on Line Testing Symposium, Como Lake, Italy. “Emulation-based Fault Injection in Circuits with Embedded Memories”. García-Valderas, M., et al. 2006, Proceedings of IEEE International on Line Testing Symposium, Como Lake, Italy.
53.
go back to reference “An Extension of Transient Fault Emulation Techniques to Circuits with Embedded Memories”. García-Valderas, M., et al. 2006, DDECS. “An Extension of Transient Fault Emulation Techniques to Circuits with Embedded Memories”. García-Valderas, M., et al. 2006, DDECS.
54.
go back to reference “Fast Timing Simulation of Transient Fault in Digital Circuits”. Dharchoudhury, A. 1994, Proc. Intl. Conf. on Computer-Aided Design, pp. 719–726. “Fast Timing Simulation of Transient Fault in Digital Circuits”. Dharchoudhury, A. 1994, Proc. Intl. Conf. on Computer-Aided Design, pp. 719–726.
55.
go back to reference “Analog-Digital Simulation of Transient-Induced Logic Errors and Upset Susceptibility of an Advanced Control System”. Carreno, V., Choi, G. and Iyer, R.K. 1990, NASA Technical Memo. “Analog-Digital Simulation of Transient-Induced Logic Errors and Upset Susceptibility of an Advanced Control System”. Carreno, V., Choi, G. and Iyer, R.K. 1990, NASA Technical Memo.
56.
go back to reference “New Techniques for Speeding-up Fault-Injection Campaigns”. Berrojo, L. Gonzalez, I. Corno, F. Reorda, M.S. Squillero, G. Entrena, L. Lopez, C., Proceedings of IEEE Design, Automation and Test in Europe 2002, pp. 847–852. “New Techniques for Speeding-up Fault-Injection Campaigns”. Berrojo, L. Gonzalez, I. Corno, F. Reorda, M.S. Squillero, G. Entrena, L. Lopez, C., Proceedings of IEEE Design, Automation and Test in Europe 2002, pp. 847–852.
57.
go back to reference “Design for Soft Error Resiliency in Internet Core Routers”, Silburt, A.L., Evans, A., Burghelea A., Wen, S.-J. and Alexandrescu, D., IEEE Transactions on Nuclear Science. “Design for Soft Error Resiliency in Internet Core Routers”, Silburt, A.L., Evans, A., Burghelea A., Wen, S.-J. and Alexandrescu, D., IEEE Transactions on Nuclear Science.
58.
go back to reference “A Multi-Partner Soft Error Rate Analysis of an InfiniBand Host Channel Adapter”, Chapman, H., Landman, E., Margarit-Illovich, A., Fang, Y.P., Oates, A.S., Alexandrescu, D. and Lauzeral, O., SELSE 2010. “A Multi-Partner Soft Error Rate Analysis of an InfiniBand Host Channel Adapter”, Chapman, H., Landman, E., Margarit-Illovich, A., Fang, Y.P., Oates, A.S., Alexandrescu, D. and Lauzeral, O., SELSE 2010.
60.
go back to reference “Evaluation of Soft Error Tolerance Technique Based on Time and/or Space Redundancy”. Anghel, L., Alexandrescu, D. and Nicolaidis, M. 2000, Proc. of 13th Symposium on Integrated Circuits and Systems Design, pp. 237–342. “Evaluation of Soft Error Tolerance Technique Based on Time and/or Space Redundancy”. Anghel, L., Alexandrescu, D. and Nicolaidis, M. 2000, Proc. of 13th Symposium on Integrated Circuits and Systems Design, pp. 237–342.
61.
go back to reference “Radiation Effects on Microelectronics in Space”. Srour, J.R. and McGarrity, J.M. 1988, Proceedings of the IEEE, Vol. 76, No. 11, p. 1443.CrossRef “Radiation Effects on Microelectronics in Space”. Srour, J.R. and McGarrity, J.M. 1988, Proceedings of the IEEE, Vol. 76, No. 11, p. 1443.CrossRef
62.
go back to reference “Modeling the Cosmic-Ray-Induced Soft-Error Rate in Integrated Circuits: An Overview”. Srinivasan, G.R. 1996, IBM Journal of Research and Development, Vol. 40, No. 1, p. 77.CrossRef “Modeling the Cosmic-Ray-Induced Soft-Error Rate in Integrated Circuits: An Overview”. Srinivasan, G.R. 1996, IBM Journal of Research and Development, Vol. 40, No. 1, p. 77.CrossRef
63.
go back to reference “The Design of Radiation-Hardened ICs for Space: A Compendium of Approaches”. Kerns, S.E. and Shafer, B.D. 1988, Proceedings of the IEEE, Vol. 76, No. 11, p. 1470.CrossRef “The Design of Radiation-Hardened ICs for Space: A Compendium of Approaches”. Kerns, S.E. and Shafer, B.D. 1988, Proceedings of the IEEE, Vol. 76, No. 11, p. 1470.CrossRef
64.
go back to reference “Modeling the Effect of Technology Trends on the Soft Error Rate of Combinational Logic”. Shivakumar, P., et al. 2002, Proceedings of the International Conference on Dependable Systems and Networks, p. 389–398. “Modeling the Effect of Technology Trends on the Soft Error Rate of Combinational Logic”. Shivakumar, P., et al. 2002, Proceedings of the International Conference on Dependable Systems and Networks, p. 389–398.
65.
go back to reference “Contribution of Device Simulation to SER Understanding”. Palau, J.-M., et al. 2003, Proceedings of the International Reliability Physics Symposium, pp. 184–189. “Contribution of Device Simulation to SER Understanding”. Palau, J.-M., et al. 2003, Proceedings of the International Reliability Physics Symposium, pp. 184–189.
Metadata
Title
Circuit and System Level Single-Event Effects Modeling and Simulation
Author
Dan Alexandrescu
Copyright Year
2011
Publisher
Springer US
DOI
https://doi.org/10.1007/978-1-4419-6993-4_5