Skip to main content
Top
Published in: Journal of Intelligent Manufacturing 4/2016

11-04-2014

Continuous prediction of manufacturing performance throughout the production lifecycle

Authors: Sholom M. Weiss, Amit Dhurandhar, Robert J. Baseman, Brian F. White, Ronald Logan, Jonathan K. Winslow, Daniel Poindexter

Published in: Journal of Intelligent Manufacturing | Issue 4/2016

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

We describe methods for continual prediction of manufactured product quality prior to final testing. In our most expansive modeling approach, an estimated final characteristic of a product is updated after each manufacturing operation. Our initial application is for the manufacture of microprocessors, and we predict final microprocessor speed. Using these predictions, early corrective manufacturing actions may be taken to increase the speed of expected slow wafers (a collection of microprocessors) or reduce the speed of fast wafers. Such predictions may also be used to initiate corrective supply chain management actions. Developing statistical learning models for this task has many complicating factors: (a) a temporally unstable population (b) missing data that is a result of sparsely sampled measurements and (c) relatively few available measurements prior to corrective action opportunities. In a real manufacturing pilot application, our automated models selected 125 fast wafers in real-time. As predicted, those wafers were significantly faster than average. During manufacture, downstream corrective processing restored 25 nominally unacceptable wafers to normal operation.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
go back to reference Apte, C., Weiss, S., & Grout, G. (1993). Predicting defects in disk drive manufacturing: A case study in high-dimensional classification. In IEEE CAIA (Vol. 93, pp. 212–218). Apte, C., Weiss, S., & Grout, G. (1993). Predicting defects in disk drive manufacturing: A case study in high-dimensional classification. In IEEE CAIA (Vol. 93, pp. 212–218).
go back to reference Bao, X., Bergman, L., & Thompson, R. (2009). Stacking recommendation engines with additional meta-features. In RecSys ’09: Proceedings of the third ACM conference on recommender systems (pp. 109–116). New York, NY, USA: ACM. Bao, X., Bergman, L., & Thompson, R. (2009). Stacking recommendation engines with additional meta-features. In RecSys ’09: Proceedings of the third ACM conference on recommender systems (pp. 109–116). New York, NY, USA: ACM.
go back to reference Bell, R. M., Bennett, J., Koren, Y., & Volinsky, C. (2009). The million dollar programming prize. IEEE Spectrum, 46(5), 28–33. Bell, R. M., Bennett, J., Koren, Y., & Volinsky, C. (2009). The million dollar programming prize. IEEE Spectrum, 46(5), 28–33.
go back to reference Chien, C., Chang, K., & Wang, W. (2013). An empirical study of design-of-experiment data mining for yield-loss diagnosis for semiconductor manufacturing. Journal of Intelligent Manufacturing. Chien, C., Chang, K., & Wang, W. (2013). An empirical study of design-of-experiment data mining for yield-loss diagnosis for semiconductor manufacturing. Journal of Intelligent Manufacturing.
go back to reference Chien, C., Hsu, C., & Hsiao, C. (2012). Manufacturing intelligence to forecast and reduce semiconductor cycle time. Journal of Intelligent Manufacturing, 23, 2281–2294.CrossRef Chien, C., Hsu, C., & Hsiao, C. (2012). Manufacturing intelligence to forecast and reduce semiconductor cycle time. Journal of Intelligent Manufacturing, 23, 2281–2294.CrossRef
go back to reference Dzeroski, S., & Ženko, B. (2004). Is combining classifiers with stacking better than selecting the best one? Machine Learning, 54(3), 255–273.CrossRef Dzeroski, S., & Ženko, B. (2004). Is combining classifiers with stacking better than selecting the best one? Machine Learning, 54(3), 255–273.CrossRef
go back to reference Fountain, T., Dietterich, T., & Sudyka, B. (2000). Mining IC test data to optimize VLSI testing. In Proceedings of the sixth ACM SIGKDD international conference on knowledge discovery and data mining (pp. 18–25). Fountain, T., Dietterich, T., & Sudyka, B. (2000). Mining IC test data to optimize VLSI testing. In Proceedings of the sixth ACM SIGKDD international conference on knowledge discovery and data mining (pp. 18–25).
go back to reference Goodwin, R., Miller, R., Tuv, E., Borisov, A., Janakiram, M., & Louchheim, S. (2004). Advancements and applications of statistical learning/data mining in semiconductor manufacturing. Intel Technology Journal, 8(4), 325–336. Goodwin, R., Miller, R., Tuv, E., Borisov, A., Janakiram, M., & Louchheim, S. (2004). Advancements and applications of statistical learning/data mining in semiconductor manufacturing. Intel Technology Journal, 8(4), 325–336.
go back to reference Harding, J., Shahbaz, M., Srinivas, S., & Kusiak, A. (2006). Data mining in manufacturing: A review. Manufacturing Science and Engineering, 128(4), 969–976. Harding, J., Shahbaz, M., Srinivas, S., & Kusiak, A. (2006). Data mining in manufacturing: A review. Manufacturing Science and Engineering, 128(4), 969–976.
go back to reference He, J. & Zhu, Y. (2012). Hierarchical multi-task learning with application to wafer quality prediction. In IEEE 12th international conference on data mining (ICDM). He, J. & Zhu, Y. (2012). Hierarchical multi-task learning with application to wafer quality prediction. In IEEE 12th international conference on data mining (ICDM).
go back to reference Holden, T., & Serearuno, M. (2005). A hybrid artificial intelligence approach for improving yield in precious stone manufacturing. Journal of Intelligent Manufacturing, 16, 21–38.CrossRef Holden, T., & Serearuno, M. (2005). A hybrid artificial intelligence approach for improving yield in precious stone manufacturing. Journal of Intelligent Manufacturing, 16, 21–38.CrossRef
go back to reference Hu, H. (2009). Supervised learning models in sort yield modeling. In Advanced semiconductor manufacturing conference (pp. 133–136). Hu, H. (2009). Supervised learning models in sort yield modeling. In Advanced semiconductor manufacturing conference (pp. 133–136).
go back to reference Irani, K. B., Cheng, J., Fayyad, U. M., & Qian, Z. (1993). Applying machine learning to semiconductor manufacturing. IEEE Expert: Intelligent Systems and Their Applications, 8(1), 41–47.CrossRef Irani, K. B., Cheng, J., Fayyad, U. M., & Qian, Z. (1993). Applying machine learning to semiconductor manufacturing. IEEE Expert: Intelligent Systems and Their Applications, 8(1), 41–47.CrossRef
go back to reference Khan, A., Moyne, J., & Tilbury, D. (2007). An approach for factory-wide control utilizing virtual metrology. IEEE Transactions on Semiconductor Manufacturing, 20, 364–375.CrossRef Khan, A., Moyne, J., & Tilbury, D. (2007). An approach for factory-wide control utilizing virtual metrology. IEEE Transactions on Semiconductor Manufacturing, 20, 364–375.CrossRef
go back to reference Krueger, D., Montgomery, D., & Mastrangelo, C. (2011). Application of generalized linear models to predict semiconductor yield using defect metrology data. IEEE Transactions on Semiconductor Manufacturing, 24, 44–58.CrossRef Krueger, D., Montgomery, D., & Mastrangelo, C. (2011). Application of generalized linear models to predict semiconductor yield using defect metrology data. IEEE Transactions on Semiconductor Manufacturing, 24, 44–58.CrossRef
go back to reference Kumar, N., Kennedy, K., Gildersleeve, K., Abelson, R., Mastrangelo, C., & Montgomery, D. (2006). A review of yield modeling techniques for semiconductor manufacturing. International Journal of Production Research, 44, 5019–5036.CrossRef Kumar, N., Kennedy, K., Gildersleeve, K., Abelson, R., Mastrangelo, C., & Montgomery, D. (2006). A review of yield modeling techniques for semiconductor manufacturing. International Journal of Production Research, 44, 5019–5036.CrossRef
go back to reference Lee, D. Y., Cho, H. S., & Cho, D. Y. (2000). A neural network model to determine the plate width set-up value in a hot plate mill. Journal of Intelligent Manufacturing, 11, 547–557.CrossRef Lee, D. Y., Cho, H. S., & Cho, D. Y. (2000). A neural network model to determine the plate width set-up value in a hot plate mill. Journal of Intelligent Manufacturing, 11, 547–557.CrossRef
go back to reference Li, T.-S., Huang, C.-L., & Wu, Z.-Y. (2006). Data mining using genetic programming for construction of a semiconductor manufacturing yield rate prediction system. Journal of Intelligent Manufacturing, 17, 355–361.CrossRef Li, T.-S., Huang, C.-L., & Wu, Z.-Y. (2006). Data mining using genetic programming for construction of a semiconductor manufacturing yield rate prediction system. Journal of Intelligent Manufacturing, 17, 355–361.CrossRef
go back to reference Liu, Y., Kalagnanam, J., & Johnsen, O. (2009). Learning dynamic temporal graphs for oil-production equipment monitoring system. In KDD (pp. 1225–1234). New York, NY, USA: ACM. Liu, Y., Kalagnanam, J., & Johnsen, O. (2009). Learning dynamic temporal graphs for oil-production equipment monitoring system. In KDD (pp. 1225–1234). New York, NY, USA: ACM.
go back to reference Melzner, H. (2002). Statistical modeling and analysis of wafer test fail counts. In Advanced semiconductor manufacturing 2002 IEEE/SEMI conference and workshop (pp. 266–271). Melzner, H. (2002). Statistical modeling and analysis of wafer test fail counts. In Advanced semiconductor manufacturing 2002 IEEE/SEMI conference and workshop (pp. 266–271).
go back to reference Schapire, R. (1990). The strength of weak learnability. Machine Learning, 5, 197–227. Schapire, R. (1990). The strength of weak learnability. Machine Learning, 5, 197–227.
go back to reference Stapper, C. (1989). Fact and fictions in yield modeling. Microelectronics Journal, 8, 103–109. Stapper, C. (1989). Fact and fictions in yield modeling. Microelectronics Journal, 8, 103–109.
go back to reference Su, C.-T., & Chiang, T.-L. (2003). Chiang optimizing the IC wire bonding process using a neural networks/genetic algorithms. Journal of Intelligent Manufacturing, 14, 229–238.CrossRef Su, C.-T., & Chiang, T.-L. (2003). Chiang optimizing the IC wire bonding process using a neural networks/genetic algorithms. Journal of Intelligent Manufacturing, 14, 229–238.CrossRef
go back to reference Vapnik, V. (1998). Statistical learning theory. New York: Wiley. Vapnik, V. (1998). Statistical learning theory. New York: Wiley.
go back to reference Weber, C. (2004). Yield learning and the sources of profitability in semiconductor manufacturing and process development. IEEE Transactions on Semiconductor Manufacturing, 17(4), 590–596.CrossRef Weber, C. (2004). Yield learning and the sources of profitability in semiconductor manufacturing and process development. IEEE Transactions on Semiconductor Manufacturing, 17(4), 590–596.CrossRef
go back to reference Weiss, S., Baseman, R., Tipu, F., et al. (2010). Rule-based data mining for yield improvement in semiconductor manufacturing. Applied Intelligence, 3, 318–329.CrossRef Weiss, S., Baseman, R., Tipu, F., et al. (2010). Rule-based data mining for yield improvement in semiconductor manufacturing. Applied Intelligence, 3, 318–329.CrossRef
go back to reference Yeh, C., Chen, C., & Chen, K. (2007). Validation and evaluation for defect-kill-rate and yield estimation models in semiconductor manufacturing. International Journal of Production Research, 45, 829–844.CrossRef Yeh, C., Chen, C., & Chen, K. (2007). Validation and evaluation for defect-kill-rate and yield estimation models in semiconductor manufacturing. International Journal of Production Research, 45, 829–844.CrossRef
go back to reference Zhu, Y. & Baseman, R. (2012). Virtual metrology and run-to-run control in semiconductor manufacturing. In 18th ISSAT international conference on reliability and quality in design. Zhu, Y. & Baseman, R. (2012). Virtual metrology and run-to-run control in semiconductor manufacturing. In 18th ISSAT international conference on reliability and quality in design.
Metadata
Title
Continuous prediction of manufacturing performance throughout the production lifecycle
Authors
Sholom M. Weiss
Amit Dhurandhar
Robert J. Baseman
Brian F. White
Ronald Logan
Jonathan K. Winslow
Daniel Poindexter
Publication date
11-04-2014
Publisher
Springer US
Published in
Journal of Intelligent Manufacturing / Issue 4/2016
Print ISSN: 0956-5515
Electronic ISSN: 1572-8145
DOI
https://doi.org/10.1007/s10845-014-0911-x

Other articles of this Issue 4/2016

Journal of Intelligent Manufacturing 4/2016 Go to the issue

Premium Partners