Skip to main content
Top
Published in: Microsystem Technologies 10/2020

19-07-2018 | Technical Paper

Design of differential TG based 8T SRAM cell for ultralow-power applications

Authors: Chandramaulashwar Roy, Aminul Islam

Published in: Microsystem Technologies | Issue 10/2020

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Low power cache memory in a system on chip is in high demand today. With the lowering of MOSFET’s channel length, low-power SRAM design has become a more challenging task. This paper presents differential 8T SRAM cell with minimum power utilization. The proposed cell has one pair of transmission gate as access switches. Due to use of TG instead of pass gate access transistor its write access time (TWA) is short. The low power consumption of the cell is due to stacking effect. This paper compares design metrics of the proposed cell with conventional 6T (CON6T) and ZIGZAG 8T (ZG8T) SRAM cells. The proposed 8T SRAM cell shows 1.15×/1.17× improvement in TWA as compared to CON6T/ZG8T at a penalty of 2.65×/2× in read access time (TRA). The proposed cell consumes 3.22× less hold power compared to both CON6T and ZG8T SRAM cells. And the proposed cell consumes 4.41× (4.44×) less write power as compared to CON6T (ZG8T) SRAM cell. Our proposed cell takes 1.37× lower chip area as compared to ZG8T cell at the expense of 1.49× higher area as compared to CON6T SRAM cell. The proposed cell also achieves 1.5×/3× higher stability during write operation as compared to CON6T/ZG8T SRAM cell, respectively. Read static margin of the proposed cell is same as CON6T but 3.2× lower than ZG8T SRAM cell.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
go back to reference Amelifard B, Fallah F, Pedram M (2008) Leakage minimization of SRAM cells in a dual-Vt and dual-Tox technology. In: IEEE transactions on very large scale integration (VLSI) systems, vol 16, no 7, pp 851–886 Amelifard B, Fallah F, Pedram M (2008) Leakage minimization of SRAM cells in a dual-Vt and dual-Tox technology. In: IEEE transactions on very large scale integration (VLSI) systems, vol 16, no 7, pp 851–886
go back to reference Anand N, Sinha A, Roy C, Islam A (2015) Design of a stable read-decoupled 6T SRAM cell at 16-Nm technology node. In: 2015 IEEE international conference on computational intelligence & communication technology, Ghaziabad, pp 524–528. https://doi.org/10.1109/cict.2015.117 Anand N, Sinha A, Roy C, Islam A (2015) Design of a stable read-decoupled 6T SRAM cell at 16-Nm technology node. In: 2015 IEEE international conference on computational intelligence & communication technology, Ghaziabad, pp 524–528. https://​doi.​org/​10.​1109/​cict.​2015.​117
go back to reference Calhoun BH, Chandrakasan A (2007) A 256 kb subthreshold SRAM in 65 nm CMOS. IEEE J Solid State Circuits 42(3):680–688CrossRef Calhoun BH, Chandrakasan A (2007) A 256 kb subthreshold SRAM in 65 nm CMOS. IEEE J Solid State Circuits 42(3):680–688CrossRef
go back to reference Calhoun et al (2010) Flexible circuits and architectures for ultralow power. Proc IEEE 98(2):267–282CrossRef Calhoun et al (2010) Flexible circuits and architectures for ultralow power. Proc IEEE 98(2):267–282CrossRef
go back to reference Chandrakasan AP, Daly DC, Kwong J, Ramadass YK (2008) Next generation micro-power systems. In: Proceedings of the IEEE symposium on VLSI circuits, pp 2–5 Chandrakasan AP, Daly DC, Kwong J, Ramadass YK (2008) Next generation micro-power systems. In: Proceedings of the IEEE symposium on VLSI circuits, pp 2–5
go back to reference Chang L et al (2008) An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches. IEEE J Solid State Circuits 43(4):956–963CrossRef Chang L et al (2008) An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches. IEEE J Solid State Circuits 43(4):956–963CrossRef
go back to reference Chang IJ, Kim J, Park SP, Roy K (2009) A 32 kb 10 T subthreshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS. IEEE J Solid State Circuits 44(2):650–658CrossRef Chang IJ, Kim J, Park SP, Roy K (2009) A 32 kb 10 T subthreshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS. IEEE J Solid State Circuits 44(2):650–658CrossRef
go back to reference Chen G et al (2010) Millimeter-scale nearly perpetual sensor system with stacked battery and solar cells. In: Proceedings of IEEE international solid-state circuits conference digest of technical papers, pp 288–289 Chen G et al (2010) Millimeter-scale nearly perpetual sensor system with stacked battery and solar cells. In: Proceedings of IEEE international solid-state circuits conference digest of technical papers, pp 288–289
go back to reference Islam A, Hasan M (2012b) A technique to mitigate impact of process, voltage and temperature variations on design metrics of SRAM cell. Microelectron Reliab 52(2):405–411CrossRef Islam A, Hasan M (2012b) A technique to mitigate impact of process, voltage and temperature variations on design metrics of SRAM cell. Microelectron Reliab 52(2):405–411CrossRef
go back to reference Jiao H, Qiu Y, Kursun V (2016) Low power and robust memory circuits with assymetrical ground gating. Microelectron J 48:109–119CrossRef Jiao H, Qiu Y, Kursun V (2016) Low power and robust memory circuits with assymetrical ground gating. Microelectron J 48:109–119CrossRef
go back to reference Joshi RV et al (2009) Design of sub-90 nm low-power and variation tolerant PD/SOI SRAM cell based on dynamic stability metrics. IEEE J Solid State Circuits 44(3):965–976CrossRef Joshi RV et al (2009) Design of sub-90 nm low-power and variation tolerant PD/SOI SRAM cell based on dynamic stability metrics. IEEE J Solid State Circuits 44(3):965–976CrossRef
go back to reference Kim TH, Liu J, Keane J, Kim CH (2007) A high-density subthreshold SRAM with data-independent bitline leakage and virtual-ground replica scheme. In: Proceedings of IEEE ISSCC digest of technical papers, pp. 330–606 Kim TH, Liu J, Keane J, Kim CH (2007) A high-density subthreshold SRAM with data-independent bitline leakage and virtual-ground replica scheme. In: Proceedings of IEEE ISSCC digest of technical papers, pp. 330–606
go back to reference Kim T, Liu J, Keane J, Kim C (2008) A 0.2 V, 480 kb subthreshold SRAM with 1 k cells per bitline for ultra-low-voltage computing. IEEE J Solid State Circuits 43(2):518–529CrossRef Kim T, Liu J, Keane J, Kim C (2008) A 0.2 V, 480 kb subthreshold SRAM with 1 k cells per bitline for ultra-low-voltage computing. IEEE J Solid State Circuits 43(2):518–529CrossRef
go back to reference Kim T, Liu J, Kim C (2009) A voltage scalable 0.26 V, 64 kb 8T SRAM with Vmin lowering techniques and deep sleep mode. IEEE J Solid State Circuits 44(6):1785–1795CrossRef Kim T, Liu J, Kim C (2009) A voltage scalable 0.26 V, 64 kb 8T SRAM with Vmin lowering techniques and deep sleep mode. IEEE J Solid State Circuits 44(6):1785–1795CrossRef
go back to reference Kulkarni JP, Kim K, Roy K (2007) A 160 mV robust Schmitt trigger based subthreshold SRAM. IEEE J Solid State Circuits 42(10):2303–2313CrossRef Kulkarni JP, Kim K, Roy K (2007) A 160 mV robust Schmitt trigger based subthreshold SRAM. IEEE J Solid State Circuits 42(10):2303–2313CrossRef
go back to reference Kursun V, Friedman EG (2006) Multi-voltage CMOS circuit design. Wiley, New YorkCrossRef Kursun V, Friedman EG (2006) Multi-voltage CMOS circuit design. Wiley, New YorkCrossRef
go back to reference Lien NC et al (2014) A 40 nm 512 kb cross-point 8 T pipeline SRAM with binary word-line boosting control, ripple bit-line and adaptive data-aware write-assist. In: IEEE transactions on circuits and systems I, regular papers, vol 61, no 12, pp 3416–3425 Lien NC et al (2014) A 40 nm 512 kb cross-point 8 T pipeline SRAM with binary word-line boosting control, ripple bit-line and adaptive data-aware write-assist. In: IEEE transactions on circuits and systems I, regular papers, vol 61, no 12, pp 3416–3425
go back to reference Lin JF, Hwang YT, Sheu MH, Ho CC (2007) A novel high-speed and energy efficient 10-transistor full adder design. In: IEEE transactions on circuits and systems I, regular papers, vol 54, no 5, pp 1050–1059 Lin JF, Hwang YT, Sheu MH, Ho CC (2007) A novel high-speed and energy efficient 10-transistor full adder design. In: IEEE transactions on circuits and systems I, regular papers, vol 54, no 5, pp 1050–1059
go back to reference Lin S, Kim YB, Lombardi F (2008) A highly-stable nanometer memory for low-power design. In: 2008 IEEE international workshop on design and test of nano devices, circuits and systems, Cambridge, MA, pp 17–20 Lin S, Kim YB, Lombardi F (2008) A highly-stable nanometer memory for low-power design. In: 2008 IEEE international workshop on design and test of nano devices, circuits and systems, Cambridge, MA, pp 17–20
go back to reference Liu Z, Kursan V (2008) Characterization of a novel nine-transistor SRAM cell. In: IEEE Transactions on very large scale integration (VLSI) systems, vol 16, no 4 Liu Z, Kursan V (2008) Characterization of a novel nine-transistor SRAM cell. In: IEEE Transactions on very large scale integration (VLSI) systems, vol 16, no 4
go back to reference Lo CH, Huang SY (2011) P-P-N based 10T SRAM cell for low-leakage and resilient subthreshold operation. IEEE J Solid State Circuits 46(3):695–704MathSciNetCrossRef Lo CH, Huang SY (2011) P-P-N based 10T SRAM cell for low-leakage and resilient subthreshold operation. IEEE J Solid State Circuits 46(3):695–704MathSciNetCrossRef
go back to reference Rabaey JM, Chandrakasan A, Nikolic B (2005) Digital integrated circuits: a design perspective, 2nd edn. Prentice-Hall, New Delhi Rabaey JM, Chandrakasan A, Nikolic B (2005) Digital integrated circuits: a design perspective, 2nd edn. Prentice-Hall, New Delhi
go back to reference Roy D, Singh AK, Anand R, Islam A (2013) Bit line and storage node decoupled 13T SRAM cell in 22-nm technology node. Wulfenia J 20(3):40–55 Roy D, Singh AK, Anand R, Islam A (2013) Bit line and storage node decoupled 13T SRAM cell in 22-nm technology node. Wulfenia J 20(3):40–55
go back to reference Seevinck E, List FJ, Lohstroh J (1987) Static-noise margin analysis of MOS SRAM cells. IEEE J Solid State Circuits 22(5):748–754CrossRef Seevinck E, List FJ, Lohstroh J (1987) Static-noise margin analysis of MOS SRAM cells. IEEE J Solid State Circuits 22(5):748–754CrossRef
go back to reference Sery G et al (2002) Life is CMOS: why chase life after? In: Proceedings of the IEEE design automation conference, pp 78–83 Sery G et al (2002) Life is CMOS: why chase life after? In: Proceedings of the IEEE design automation conference, pp 78–83
go back to reference Soeleman H, Roy K (1999) Ultralow power digital subthreshold logic circuits. In: Proceedings of ISLPED, pp 94–96 Soeleman H, Roy K (1999) Ultralow power digital subthreshold logic circuits. In: Proceedings of ISLPED, pp 94–96
go back to reference Sridhara SR et al (2011) Microwatt embedded processor platform for medical system-on-chip applications. IEEE J Solid State Circuits 46(4):721–730CrossRef Sridhara SR et al (2011) Microwatt embedded processor platform for medical system-on-chip applications. IEEE J Solid State Circuits 46(4):721–730CrossRef
go back to reference Verma N, Chandrakasan AP (2007) A 65 nm 8T sub-Vt SRAM employing sense-amplifier redundancy. In: Proceedings of IEEE ISSCC digest on technical papers, pp 328–606 Verma N, Chandrakasan AP (2007) A 65 nm 8T sub-Vt SRAM employing sense-amplifier redundancy. In: Proceedings of IEEE ISSCC digest on technical papers, pp 328–606
go back to reference Verma N, Chandrakasan A (2008) A 256 kb 65 nm 8T sub-Vt SRAM employing sense-amplifier redundancy. IEEE J Solid State Circuits 43(1):141–149CrossRef Verma N, Chandrakasan A (2008) A 256 kb 65 nm 8T sub-Vt SRAM employing sense-amplifier redundancy. IEEE J Solid State Circuits 43(1):141–149CrossRef
go back to reference Wang MH et al (2007) A 85 mV 40 nW process-tolerant sub-threshold 8 × 8 FIR filter in 130 nm technology. In: Proceedings of symposium on VLSI circuits digest, pp 154–155 Wang MH et al (2007) A 85 mV 40 nW process-tolerant sub-threshold 8 × 8 FIR filter in 130 nm technology. In: Proceedings of symposium on VLSI circuits digest, pp 154–155
go back to reference Wang JM, Fang SC, Feng W-S (1994) New efficient designs for XOR and XNOR functions on the transistor level. IEEE J Solid State Circuits 29(7):780–786CrossRef Wang JM, Fang SC, Feng W-S (1994) New efficient designs for XOR and XNOR functions on the transistor level. IEEE J Solid State Circuits 29(7):780–786CrossRef
go back to reference Wang et al (2006) Subthreshold design for ultra-low-power systems. Springer, New York Wang et al (2006) Subthreshold design for ultra-low-power systems. Springer, New York
go back to reference Yang B, Kim L (2005) A low-power SRAM using hierarchical bit line and local sense amplifiers. IEEE J Solid State Circuits 40(6):1366–1376CrossRef Yang B, Kim L (2005) A low-power SRAM using hierarchical bit line and local sense amplifiers. IEEE J Solid State Circuits 40(6):1366–1376CrossRef
go back to reference Zhai B, Blaauw D, Sylvester D (2008) A variation-tolerant sub-200 mV 6-T subthreshold SRAM. IEEE J Solid State Circuits 43(10):2338–2348CrossRef Zhai B, Blaauw D, Sylvester D (2008) A variation-tolerant sub-200 mV 6-T subthreshold SRAM. IEEE J Solid State Circuits 43(10):2338–2348CrossRef
Metadata
Title
Design of differential TG based 8T SRAM cell for ultralow-power applications
Authors
Chandramaulashwar Roy
Aminul Islam
Publication date
19-07-2018
Publisher
Springer Berlin Heidelberg
Published in
Microsystem Technologies / Issue 10/2020
Print ISSN: 0946-7076
Electronic ISSN: 1432-1858
DOI
https://doi.org/10.1007/s00542-018-4035-7

Other articles of this Issue 10/2020

Microsystem Technologies 10/2020 Go to the issue