Skip to main content
Top

2024 | OriginalPaper | Chapter

14. EDA for Superconductive Electronics

Authors : Gleb Krylov, Tahereh Jabbari, Eby G. Friedman

Published in: Single Flux Quantum Integrated Circuit Design

Publisher: Springer International Publishing

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Electronic design automation (EDA) is essential for the computer-aided design (CAD) of large-scale systems. In this chapter, EDA methodologies, techniques, and algorithms used in superconductive electronics are discussed. The semi-custom standard cell-based design flow, common in conventional CMOS circuits, is increasingly widely adopted in modern superconductive circuits. Differences and issues in computer-aided design flows as compared to CMOS design methodologies are highlighted. The most common stages of these design flows, from high-level simulation to physical layout, are described. These stages are grouped into three areas—simulation/modeling, synthesis, and verification. For the automated synthesis process, methodologies and algorithms are described for logic synthesis and automated place and route. For the simulation and modeling process, RTL simulation based on hardware design languages and different dynamic and static circuit simulators, as well as inductance extraction tools, are described. For the verification process, timing analysis methodologies and related timing constraints suitable for modern superconductive circuits are discussed, and verification approaches are reviewed. Existing EDA tools and techniques for superconductive electronics are immature as compared to CMOS EDA tools. Significant research efforts are, however, directed at improving and developing novel algorithms and design methodologies that target superconductive circuits. The effectiveness of these tools is improving to enable large-scale superconductive systems.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
22.
go back to reference T. Jabbari, F. Shanehsazzadeh, H. Zandi, M. Banzet, J. Schubert, M. Fardmanesh, Effects of the design parameters on characteristics of the inductances and JJs in HTS RSFQ circuits. IEEE Trans. Appl. Supercond. 28(7), 1–4 (2018)CrossRef T. Jabbari, F. Shanehsazzadeh, H. Zandi, M. Banzet, J. Schubert, M. Fardmanesh, Effects of the design parameters on characteristics of the inductances and JJs in HTS RSFQ circuits. IEEE Trans. Appl. Supercond. 28(7), 1–4 (2018)CrossRef
25.
go back to reference K.K. Likharev, V.K. Semenov, RSFQ logic/memory family: a new Josephson-junction technology for sub-terahertz-clock-frequency digital systems. IEEE Trans. Appl. Supercond. 1(1), 3–28 (1991)CrossRef K.K. Likharev, V.K. Semenov, RSFQ logic/memory family: a new Josephson-junction technology for sub-terahertz-clock-frequency digital systems. IEEE Trans. Appl. Supercond. 1(1), 3–28 (1991)CrossRef
38.
go back to reference S. Whiteley, E. Mlinar, G. Krylov, T. Jabbari, E.G. Friedman, J. Kawa, An SFQ digital circuit technology with fully-passive transmission line interconnect, in Proceedings of the Applied Superconductivity Conference (2020) S. Whiteley, E. Mlinar, G. Krylov, T. Jabbari, E.G. Friedman, J. Kawa, An SFQ digital circuit technology with fully-passive transmission line interconnect, in Proceedings of the Applied Superconductivity Conference (2020)
39.
go back to reference T. Jabbari, G. Krylov, S. Whiteley, E. Mlinar, J Kawa, E.G. Friedman, Interconnect routing for large scale RSFQ circuits. IEEE Trans. Appl. Supercond. 29(5), 1102805 (2019) T. Jabbari, G. Krylov, S. Whiteley, E. Mlinar, J Kawa, E.G. Friedman, Interconnect routing for large scale RSFQ circuits. IEEE Trans. Appl. Supercond. 29(5), 1102805 (2019)
41.
go back to reference T. Jabbari, E.G. Friedman, Global interconnects in VLSI complexity single flux quantum systems, in Proceedings of the Workshop on System-Level Interconnect: Problems and Pathfinding Workshop (2020), pp. 1–7 T. Jabbari, E.G. Friedman, Global interconnects in VLSI complexity single flux quantum systems, in Proceedings of the Workshop on System-Level Interconnect: Problems and Pathfinding Workshop (2020), pp. 1–7
42.
go back to reference T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Repeater insertion in SFQ interconnect. IEEE Trans. Appl. Supercond. 30(8), 5400508 (2020) T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Repeater insertion in SFQ interconnect. IEEE Trans. Appl. Supercond. 30(8), 5400508 (2020)
47.
go back to reference T. Jabbari, E.G. Friedman, SFQ/DQFP interface circuits. IEEE Trans. Appl. Supercond. 33(5), 1–5 (2023) T. Jabbari, E.G. Friedman, SFQ/DQFP interface circuits. IEEE Trans. Appl. Supercond. 33(5), 1–5 (2023)
56.
go back to reference T. Jabbari, M. Bocko, E.G. Friedman, All-JJ logic based on bistable JJs. IEEE Trans. Appl. Supercond. 33(5), 1–7 (2023) T. Jabbari, M. Bocko, E.G. Friedman, All-JJ logic based on bistable JJs. IEEE Trans. Appl. Supercond. 33(5), 1–7 (2023)
57.
go back to reference T. Jabbari, E.G. Friedman, Transmission lines in VLSI complexity single flux quantum systems, in Proceedings of the PhotonIcs and Electromagnetics Research Symposium (2023), pp. 1749–1759 T. Jabbari, E.G. Friedman, Transmission lines in VLSI complexity single flux quantum systems, in Proceedings of the PhotonIcs and Electromagnetics Research Symposium (2023), pp. 1749–1759
58.
go back to reference R. Bairamkulov, T. Jabbari, E.G. Friedman, QuCTS – single flux quantum clock tree synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10), 3346–3358 (2022)CrossRef R. Bairamkulov, T. Jabbari, E.G. Friedman, QuCTS – single flux quantum clock tree synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(10), 3346–3358 (2022)CrossRef
59.
go back to reference T. Jabbari, J. Kawa, E.G. Friedman, H-tree clock synthesis in RSFQ circuits, in Proceedings of the IEEE Baltic Electronics Conference (2020), pp. 1–5 T. Jabbari, J. Kawa, E.G. Friedman, H-tree clock synthesis in RSFQ circuits, in Proceedings of the IEEE Baltic Electronics Conference (2020), pp. 1–5
60.
go back to reference T. Jabbari, G. Krylov, J Kawa, E.G. Friedman, Splitter trees in single flux quantum circuits. IEEE Trans. Appl. Supercond. 31(5), 1302606 (2021) T. Jabbari, G. Krylov, J Kawa, E.G. Friedman, Splitter trees in single flux quantum circuits. IEEE Trans. Appl. Supercond. 31(5), 1302606 (2021)
61.
go back to reference T. Jabbari, E.G. Friedman, Flux mitigation in wide superconductive striplines. IEEE Trans. Appl. Supercond. 32(3), 1–6 (2022)CrossRef T. Jabbari, E.G. Friedman, Flux mitigation in wide superconductive striplines. IEEE Trans. Appl. Supercond. 32(3), 1–6 (2022)CrossRef
63.
go back to reference T. Jabbari, E.G. Friedman, Stripline topology for flux mitigation. IEEE Trans. Appl. Supercond. 335, 1–4 (2023) T. Jabbari, E.G. Friedman, Stripline topology for flux mitigation. IEEE Trans. Appl. Supercond. 335, 1–4 (2023)
65.
go back to reference T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Resonance effects in single flux quantum interconnect, in Proceedings of the Government Microcircuit Applications and Critical Technology Conference (2020), pp. 1–5 T. Jabbari, G. Krylov, S. Whiteley, J. Kawa, E.G. Friedman, Resonance effects in single flux quantum interconnect, in Proceedings of the Government Microcircuit Applications and Critical Technology Conference (2020), pp. 1–5
86.
go back to reference A.R. Kerr, Surface impedance of superconductors and normal conductors in EM simulators. National Radio Astronomy Observatory, Electronics Division Internal Report, No. 302 (1996) A.R. Kerr, Surface impedance of superconductors and normal conductors in EM simulators. National Radio Astronomy Observatory, Electronics Division Internal Report, No. 302 (1996)
87.
go back to reference T. Jabbari, E.G. Friedman, Surface inductance of superconductive striplines. IEEE Trans. Circuits Syst. II Express Briefs 69(6), 2952–2956 (2022) T. Jabbari, E.G. Friedman, Surface inductance of superconductive striplines. IEEE Trans. Circuits Syst. II Express Briefs 69(6), 2952–2956 (2022)
88.
go back to reference K.K. Likharev, Dynamics of Josephson Junctions and Circuits (Gordon and Breach Science Publishers, London, 1986) K.K. Likharev, Dynamics of Josephson Junctions and Circuits (Gordon and Breach Science Publishers, London, 1986)
110.
go back to reference G. Krylov, E.G. Friedman, Partitioning RSFQ circuits for current recycling. IEEE Trans. Appl. Supercond. 31(5), 1–6 (2021)CrossRef G. Krylov, E.G. Friedman, Partitioning RSFQ circuits for current recycling. IEEE Trans. Appl. Supercond. 31(5), 1–6 (2021)CrossRef
111.
go back to reference S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, A. Wynn, D.E. Oates, L.M. Johnson, M.A. Gouker, Advanced fabrication processes for superconducting very large-scale integrated circuits. IEEE Trans. Appl. Supercond. 26(3), 1–10 (2016)CrossRef S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, A. Wynn, D.E. Oates, L.M. Johnson, M.A. Gouker, Advanced fabrication processes for superconducting very large-scale integrated circuits. IEEE Trans. Appl. Supercond. 26(3), 1–10 (2016)CrossRef
131.
go back to reference G. Krylov, E.G. Friedman, Design methodology for distributed large-scale ERSFQ bias networks. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 28(11), 2438–2447 (2020) G. Krylov, E.G. Friedman, Design methodology for distributed large-scale ERSFQ bias networks. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 28(11), 2438–2447 (2020)
132.
go back to reference G. Krylov, E.G. Friedman, Asynchronous dynamic single flux quantum majority gates. IEEE Trans. Appl. Supercond. 30(5), 1–7 (2020). Art no. 1300907 G. Krylov, E.G. Friedman, Asynchronous dynamic single flux quantum majority gates. IEEE Trans. Appl. Supercond. 30(5), 1–7 (2020). Art no. 1300907
137.
go back to reference T. Jabbari, E.G. Friedman, Inductive and capacitive coupling noise in superconductive VLSI circuits. IEEE Trans. Appl. Supercond. 33(9), 3800707 (2023) T. Jabbari, E.G. Friedman, Inductive and capacitive coupling noise in superconductive VLSI circuits. IEEE Trans. Appl. Supercond. 33(9), 3800707 (2023)
145.
go back to reference H. Kumar, T. Jabbari, G. Krylov, K. Basu, E.G. Friedman, R. Karri, Toward increasing the difficulty of reverse engineering of RSFQ circuits. IEEE Trans. Appl. Supercond. 30(3), 1–13 (2020)CrossRef H. Kumar, T. Jabbari, G. Krylov, K. Basu, E.G. Friedman, R. Karri, Toward increasing the difficulty of reverse engineering of RSFQ circuits. IEEE Trans. Appl. Supercond. 30(3), 1–13 (2020)CrossRef
147.
go back to reference Y. Mustafa, T. Jabbari, S. Köse, Emerging attacks on logic locking in SFQ circuits and related countermeasures. IEEE Trans. Appl. Supercond. 32(3), 1–8 (2022)CrossRef Y. Mustafa, T. Jabbari, S. Köse, Emerging attacks on logic locking in SFQ circuits and related countermeasures. IEEE Trans. Appl. Supercond. 32(3), 1–8 (2022)CrossRef
149.
go back to reference G. Krylov, E.G. Friedman, Bias distribution in ERSFQ VLSI circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems (2020), pp. 1–5 G. Krylov, E.G. Friedman, Bias distribution in ERSFQ VLSI circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems (2020), pp. 1–5
154.
go back to reference V.F. Pavlidis, I. Savidis, E.G. Friedman, Three-Dimensional Integrated Circuit Design, 2nd edn. (Morgan Kaufmann, Burlington, 2017) V.F. Pavlidis, I. Savidis, E.G. Friedman, Three-Dimensional Integrated Circuit Design, 2nd edn. (Morgan Kaufmann, Burlington, 2017)
159.
go back to reference G. Krylov, E.G. Friedman, Design for testability of SFQ circuits. IEEE Trans. Appl. Supercond. 27(8), 1–7 (2017)CrossRef G. Krylov, E.G. Friedman, Design for testability of SFQ circuits. IEEE Trans. Appl. Supercond. 27(8), 1–7 (2017)CrossRef
171.
go back to reference E. Salman, E.G. Friedman, High Performance Integrated Circuit Design (McGraw-Hill Publishers, New York City, 2012) E. Salman, E.G. Friedman, High Performance Integrated Circuit Design (McGraw-Hill Publishers, New York City, 2012)
177.
go back to reference O.T. Oberg, Superconducting Logic Circuits Operating with Reciprocal Magnetic Flux Quanta, Ph.D. Dissertation, University of Maryland, College Park, Maryland, 2011 O.T. Oberg, Superconducting Logic Circuits Operating with Reciprocal Magnetic Flux Quanta, Ph.D. Dissertation, University of Maryland, College Park, Maryland, 2011
216.
go back to reference G. Krylov, E.G. Friedman, Globally asynchronous, locally synchronous clocking and shared interconnect for large-scale SFQ systems. IEEE Trans. Appl. Supercond. 29(5), 1–5 (2019) G. Krylov, E.G. Friedman, Globally asynchronous, locally synchronous clocking and shared interconnect for large-scale SFQ systems. IEEE Trans. Appl. Supercond. 29(5), 1–5 (2019)
217.
go back to reference G. Krylov, E.G. Friedman, Test point insertion for RSFQ circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems (2017), pp. 2022–2025 G. Krylov, E.G. Friedman, Test point insertion for RSFQ circuits, in Proceedings of the IEEE International Symposium on Circuits and Systems (2017), pp. 2022–2025
224.
go back to reference S.S. Meher, C. Kanungo, A. Shukla, A. Inamdar, Parametric approach for routing power nets and passive transmission lines as part of digital cells. IEEE Trans. Appl. Supercond. 29(5), 1–7 (2019)CrossRef S.S. Meher, C. Kanungo, A. Shukla, A. Inamdar, Parametric approach for routing power nets and passive transmission lines as part of digital cells. IEEE Trans. Appl. Supercond. 29(5), 1–7 (2019)CrossRef
231.
go back to reference K. Gaj, E.G. Friedman, M.J. Feldman, Timing of multi-gigahertz rapid single flux quantum digital circuits. J. VLSI Sig. Process. Syst. 16(2/3), 247–276 (1997)CrossRef K. Gaj, E.G. Friedman, M.J. Feldman, Timing of multi-gigahertz rapid single flux quantum digital circuits. J. VLSI Sig. Process. Syst. 16(2/3), 247–276 (1997)CrossRef
235.
go back to reference S.N. Shahsavani, T. Lin, A. Shafaei, C.J. Fourie, M. Pedram, An integrated row-based cell placement and interconnect synthesis tool for large SFQ logic circuits. IEEE Trans. Appl. Supercond. 27(4), 1–8 (2017)CrossRef S.N. Shahsavani, T. Lin, A. Shafaei, C.J. Fourie, M. Pedram, An integrated row-based cell placement and interconnect synthesis tool for large SFQ logic circuits. IEEE Trans. Appl. Supercond. 27(4), 1–8 (2017)CrossRef
241.
go back to reference Y. Kameda, S. Yorozu, Y. Hashimoto, A new design methodology for single-flux-quantum (SFQ) logic circuits using passive-transmission-line (PTL) wiring. IEEE Trans. Appl. Supercond. 17(2), 508–511 (2007)CrossRef Y. Kameda, S. Yorozu, Y. Hashimoto, A new design methodology for single-flux-quantum (SFQ) logic circuits using passive-transmission-line (PTL) wiring. IEEE Trans. Appl. Supercond. 17(2), 508–511 (2007)CrossRef
242.
go back to reference T. Jabbari, R. Bairamkulov, J. Kawa, E. Friedman, Interconnect benchmark circuits for single flux quantum integrated circuits. IEEE Trans. Appl. Supercond. (2023). Under review T. Jabbari, R. Bairamkulov, J. Kawa, E. Friedman, Interconnect benchmark circuits for single flux quantum integrated circuits. IEEE Trans. Appl. Supercond. (2023). Under review
254.
go back to reference L. Amarú, P. Gaillardon, G. De Micheli, Majority-inverter graph: a new paradigm for logic optimization. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 35(5), 806–819 (2016)CrossRef L. Amarú, P. Gaillardon, G. De Micheli, Majority-inverter graph: a new paradigm for logic optimization. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 35(5), 806–819 (2016)CrossRef
256.
go back to reference K. Inoue, N. Takeuchi, K. Ehara, Y. Yamanashi, N. Yoshikawa, Simulation and experimental demonstration of logic circuits using an ultra-low-power adiabatic quantum-flux-parametron. IEEE Trans. Appl. Supercond. 23(3), 1301105 (2013) K. Inoue, N. Takeuchi, K. Ehara, Y. Yamanashi, N. Yoshikawa, Simulation and experimental demonstration of logic circuits using an ultra-low-power adiabatic quantum-flux-parametron. IEEE Trans. Appl. Supercond. 23(3), 1301105 (2013)
262.
go back to reference L. Amarú, P. Gaillardon, A. Chattopadhyay, G. De Micheli, A sound and complete axiomatization of majority-n logic. IEEE Trans. Comput. 65(9), 2889–2895 (2016)MathSciNetCrossRef L. Amarú, P. Gaillardon, A. Chattopadhyay, G. De Micheli, A sound and complete axiomatization of majority-n logic. IEEE Trans. Comput. 65(9), 2889–2895 (2016)MathSciNetCrossRef
264.
go back to reference C.J. Fourie, O. Wetzstein, T. Ortlepp, J. Kunert, Three-dimensional multi-terminal superconductive integrated circuit inductance extraction. Supercond. Sci. Technol. 24(12), 125015 (2011) C.J. Fourie, O. Wetzstein, T. Ortlepp, J. Kunert, Three-dimensional multi-terminal superconductive integrated circuit inductance extraction. Supercond. Sci. Technol. 24(12), 125015 (2011)
267.
go back to reference D. Amparo, M. Eren Çelik, S. Nath, J.P. Cerqueira, A. Inamdar, Timing characterization for RSFQ cell library. IEEE Trans. Appl. Supercond. 29(5), 1–9 (2019)CrossRef D. Amparo, M. Eren Çelik, S. Nath, J.P. Cerqueira, A. Inamdar, Timing characterization for RSFQ cell library. IEEE Trans. Appl. Supercond. 29(5), 1–9 (2019)CrossRef
268.
go back to reference X. Liu, M.C. Papaefthymiou, E.G. Friedman, Retiming and clock scheduling for digital circuit optimization. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 21(2), 184–203 (2002)CrossRef X. Liu, M.C. Papaefthymiou, E.G. Friedman, Retiming and clock scheduling for digital circuit optimization. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 21(2), 184–203 (2002)CrossRef
276.
go back to reference Y. He, C.L. Ayala, N. Takeuchi, T. Yamae, Y. Hironaka, A. Sahu, V. Gupta, A. Talalaevskii, D. Gupta, N. Yoshikawa, A compact AQFP logic cell design using an 8-metal layer superconductor process. Supercond. Sci. Technol. 33(3), 035010 (2020) Y. He, C.L. Ayala, N. Takeuchi, T. Yamae, Y. Hironaka, A. Sahu, V. Gupta, A. Talalaevskii, D. Gupta, N. Yoshikawa, A compact AQFP logic cell design using an 8-metal layer superconductor process. Supercond. Sci. Technol. 33(3), 035010 (2020)
362.
go back to reference P. Bunyk, P. Litskevitch, Case study in RSFQ design: fast pipelined parallel adder. IEEE Trans. Appl. Supercond. 9(2), 3714–3720 (1999)CrossRef P. Bunyk, P. Litskevitch, Case study in RSFQ design: fast pipelined parallel adder. IEEE Trans. Appl. Supercond. 9(2), 3714–3720 (1999)CrossRef
363.
go back to reference S.N. Shahsavani, A. Shafaei, M. Pedram, A placement algorithm for superconducting logic circuits based on cell grouping and super-cell placement. Proc. IEEE Des. Autom. Test Eur. Conf. 29, 1465–1468 (2018) S.N. Shahsavani, A. Shafaei, M. Pedram, A placement algorithm for superconducting logic circuits based on cell grouping and super-cell placement. Proc. IEEE Des. Autom. Test Eur. Conf. 29, 1465–1468 (2018)
389.
go back to reference K. Gaj, Q.P. Herr, V. Adler, A. Krasniewski, E.G. Friedman, M.J. Feldman, Tools for the computer-aided design of multigigahertz superconducting digital circuits. IEEE Trans. Appl. Supercond. 9(1), 18–38 (1999)CrossRef K. Gaj, Q.P. Herr, V. Adler, A. Krasniewski, E.G. Friedman, M.J. Feldman, Tools for the computer-aided design of multigigahertz superconducting digital circuits. IEEE Trans. Appl. Supercond. 9(1), 18–38 (1999)CrossRef
402.
go back to reference J. Rosenfeld, E.G. Friedman, Design methodology for global resonant H-tree clock distribution networks. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 15(2), 135–148 (2007) J. Rosenfeld, E.G. Friedman, Design methodology for global resonant H-tree clock distribution networks. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 15(2), 135–148 (2007)
405.
go back to reference K. Gaj, Q.P. Herr, V. Adler, D.K. Brock, E.G. Friedman, M.J. Feldman, Toward a systematic design methodology for large multigigahertz rapid single flux quantum circuits. IEEE Trans. Appl. Supercond. 9(3), 4591–4606 (1999)CrossRef K. Gaj, Q.P. Herr, V. Adler, D.K. Brock, E.G. Friedman, M.J. Feldman, Toward a systematic design methodology for large multigigahertz rapid single flux quantum circuits. IEEE Trans. Appl. Supercond. 9(3), 4591–4606 (1999)CrossRef
406.
go back to reference A.B. Kahng, J. Lienig, I.L. Markov, J. Hu, VLSI Physical Design: From Graph Partitioning to Timing Closure (Springer Netherlands, Dordrecht, 2011)CrossRef A.B. Kahng, J. Lienig, I.L. Markov, J. Hu, VLSI Physical Design: From Graph Partitioning to Timing Closure (Springer Netherlands, Dordrecht, 2011)CrossRef
408.
go back to reference S. Anders, M.G. Blamire, F.-Im. Buchholz, D.-G. Crété, R. Cristiano, P. Febvre, L. Fritzsch, A. Herr, E. Il’ichev, J. Kohlmann, J. Kunert, H.-G. Meyer, J. Niemeyer, T. Ortlepp, H. Rogalla, T. Schurig, M. Siegel, R. Stolz, E. Tarte, H.J.M. ter Brake, H. Toepfer, J.-C. Villegier, A.M. Zagoskin, A.B. Zorin, European roadmap on superconductive electronics – status and perspectives. Phys. C Supercond. 470(23), 2079–2126 (2010) S. Anders, M.G. Blamire, F.-Im. Buchholz, D.-G. Crété, R. Cristiano, P. Febvre, L. Fritzsch, A. Herr, E. Il’ichev, J. Kohlmann, J. Kunert, H.-G. Meyer, J. Niemeyer, T. Ortlepp, H. Rogalla, T. Schurig, M. Siegel, R. Stolz, E. Tarte, H.J.M. ter Brake, H. Toepfer, J.-C. Villegier, A.M. Zagoskin, A.B. Zorin, European roadmap on superconductive electronics – status and perspectives. Phys. C Supercond. 470(23), 2079–2126 (2010)
409.
go back to reference S. Yorozu, Y. Kameda, H. Terai, A. Fujimaki, T. Yamada, S. Tahara, A single flux quantum standard logic cell library. Phys. C Supercond. 378–381, 1471–1474 (2002)CrossRef S. Yorozu, Y. Kameda, H. Terai, A. Fujimaki, T. Yamada, S. Tahara, A single flux quantum standard logic cell library. Phys. C Supercond. 378–381, 1471–1474 (2002)CrossRef
410.
go back to reference S. Tahara, H. Numata, S. Yorozu, Y. Hashimoto, S. Nagasawa, Superconducting technology for digital applications using niobium Josephson junctions. IEICE Trans. Electron. 83(1), 60–68 (2000) S. Tahara, H. Numata, S. Yorozu, Y. Hashimoto, S. Nagasawa, Superconducting technology for digital applications using niobium Josephson junctions. IEICE Trans. Electron. 83(1), 60–68 (2000)
411.
go back to reference M. Maezawa, M. Ochiai, H. Kimura, F. Hirayama, M. Suzuki, Design and operation of RSFQ cell library fabricated by using a 10-\(\mathrm {kA/cm}^{2}\) Nb technology. IEEE Trans. Appl. Supercond. 17(2), 500–504 (2007) M. Maezawa, M. Ochiai, H. Kimura, F. Hirayama, M. Suzuki, Design and operation of RSFQ cell library fabricated by using a 10-\(\mathrm {kA/cm}^{2}\) Nb technology. IEEE Trans. Appl. Supercond. 17(2), 500–504 (2007)
412.
go back to reference M. Maezawa, F. Hirayama, M. Suzuki, Design and fabrication of RSFQ cell library for middle-scale applications. Phys. C Supercond. 412–414, 1591–1596 (2004)CrossRef M. Maezawa, F. Hirayama, M. Suzuki, Design and fabrication of RSFQ cell library for middle-scale applications. Phys. C Supercond. 412–414, 1591–1596 (2004)CrossRef
413.
go back to reference A. Inamdar, D. Amparo, B. Sahoo, J. Ren, A. Sahu, RSFQ/ERSFQ cell library with improved circuit optimization, timing verification, and test characterization. IEEE Trans. Appl. Supercond. 27(4), 1–9 (2017)CrossRef A. Inamdar, D. Amparo, B. Sahoo, J. Ren, A. Sahu, RSFQ/ERSFQ cell library with improved circuit optimization, timing verification, and test characterization. IEEE Trans. Appl. Supercond. 27(4), 1–9 (2017)CrossRef
414.
go back to reference C.L. Ayala, R. Saito, T. Tanaka, O. Chen, N. Takeuchi, Y. He, N. Yoshikawa, A semi-custom design methodology and environment for implementing superconductor adiabatic quantum-flux-parametron microprocessors. Supercond. Sci. Technol. 33(5), 054006 (2020) C.L. Ayala, R. Saito, T. Tanaka, O. Chen, N. Takeuchi, Y. He, N. Yoshikawa, A semi-custom design methodology and environment for implementing superconductor adiabatic quantum-flux-parametron microprocessors. Supercond. Sci. Technol. 33(5), 054006 (2020)
415.
go back to reference C.L. Ayala, O. Chen, N. Yoshikawa, AQFPTX: adiabatic quantum-flux-parametron timing eXtraction tool, in Proceedings of the IEEE International Superconductive Electronics Conference (2019), pp. 1–3 C.L. Ayala, O. Chen, N. Yoshikawa, AQFPTX: adiabatic quantum-flux-parametron timing eXtraction tool, in Proceedings of the IEEE International Superconductive Electronics Conference (2019), pp. 1–3
416.
go back to reference K. Gaj, C. Cheah, E.G. Friedman, M.J. Feldman, Functional modeling of RSFQ circuits using verilog HDL. IEEE Trans. Appl. Supercond. 7(2), 3151–3154 (1997)CrossRef K. Gaj, C. Cheah, E.G. Friedman, M.J. Feldman, Functional modeling of RSFQ circuits using verilog HDL. IEEE Trans. Appl. Supercond. 7(2), 3151–3154 (1997)CrossRef
417.
go back to reference A. Krasniewski, Logic simulation of RSFQ circuits. IEEE Trans. Appl. Supercond. 3(1), 33–38 (1993)CrossRef A. Krasniewski, Logic simulation of RSFQ circuits. IEEE Trans. Appl. Supercond. 3(1), 33–38 (1993)CrossRef
418.
go back to reference S.V. Polonsky, V.K. Semenov, P.N. Shevchenko, PSCAN: personal superconductor circuit analyser. Supercond. Sci. Technol. 4(11), 667–670 (1991)CrossRef S.V. Polonsky, V.K. Semenov, P.N. Shevchenko, PSCAN: personal superconductor circuit analyser. Supercond. Sci. Technol. 4(11), 667–670 (1991)CrossRef
419.
go back to reference P. Bunyk, A.Y. Kidiyarova-Shevchenko, P. Litskevitch, RSFQ microprocessor: new design approaches. IEEE Trans. Appl. Supercond. 7(2), 2697–2704 (1997)CrossRef P. Bunyk, A.Y. Kidiyarova-Shevchenko, P. Litskevitch, RSFQ microprocessor: new design approaches. IEEE Trans. Appl. Supercond. 7(2), 2697–2704 (1997)CrossRef
420.
go back to reference H. Toepfer, T. Harnisch, J. Kunert, S. Lange, H.F. Uhlmann, Formal description of the functional behavior of RSFQ logic circuits for design and optimization purposes. IEEE Trans. Appl. Supercond. 7(2), 3630–3633 (1997)CrossRef H. Toepfer, T. Harnisch, J. Kunert, S. Lange, H.F. Uhlmann, Formal description of the functional behavior of RSFQ logic circuits for design and optimization purposes. IEEE Trans. Appl. Supercond. 7(2), 3630–3633 (1997)CrossRef
421.
go back to reference F. Matsuzaki, N. Yoshikawa, M. Tanaka, A. Fujimaki, Y. Takai, A behavioral-level HDL description of SFQ logic circuits for quantitative performance analysis of large-scale SFQ digital systems. Phys. C Supercond. 392–396, 1495–1500 (2003)CrossRef F. Matsuzaki, N. Yoshikawa, M. Tanaka, A. Fujimaki, Y. Takai, A behavioral-level HDL description of SFQ logic circuits for quantitative performance analysis of large-scale SFQ digital systems. Phys. C Supercond. 392–396, 1495–1500 (2003)CrossRef
422.
go back to reference S. Intiso, I. Kataeva, E. Tolkacheva, H. Engseth, K. Platov, A. Kidiyarova-Shevchenko, Time-delay optimization of RSFQ cells. IEEE Trans. Appl. Supercond. 15(2), 328–331 (2005)CrossRef S. Intiso, I. Kataeva, E. Tolkacheva, H. Engseth, K. Platov, A. Kidiyarova-Shevchenko, Time-delay optimization of RSFQ cells. IEEE Trans. Appl. Supercond. 15(2), 328–331 (2005)CrossRef
423.
go back to reference A.K. Kasperek, 32-bit Superconductor Integer and Floating-Point Multipliers, Ph.D. Dissertation, Stony Brook University, Stony Brook, New York, 2012 A.K. Kasperek, 32-bit Superconductor Integer and Floating-Point Multipliers, Ph.D. Dissertation, Stony Brook University, Stony Brook, New York, 2012
424.
go back to reference L.C. Müller, C.J. Fourie, Automated state machine and timing characteristic extraction for RSFQ circuits. IEEE Trans. Appl. Supercond. 24(1), 3–12 (2014)CrossRef L.C. Müller, C.J. Fourie, Automated state machine and timing characteristic extraction for RSFQ circuits. IEEE Trans. Appl. Supercond. 24(1), 3–12 (2014)CrossRef
425.
go back to reference C.J. Fourie, Extraction of DC-biased SFQ circuit verilog models. IEEE Trans. Appl. Supercond. 28(6), 1–11 (2018)CrossRef C.J. Fourie, Extraction of DC-biased SFQ circuit verilog models. IEEE Trans. Appl. Supercond. 28(6), 1–11 (2018)CrossRef
426.
go back to reference Q. Xu, C.L. Ayala, N. Takeuchi, Y. Yamanashi, N. Yoshikawa, HDL-based modeling approach for digital simulation of adiabatic quantum flux parametron logic. IEEE Trans. Appl. Supercond. 26(8), 1–5 (2016)CrossRef Q. Xu, C.L. Ayala, N. Takeuchi, Y. Yamanashi, N. Yoshikawa, HDL-based modeling approach for digital simulation of adiabatic quantum flux parametron logic. IEEE Trans. Appl. Supercond. 26(8), 1–5 (2016)CrossRef
427.
go back to reference R.N. Tadros, A. Fayyazi, M. Pedram, P.A. Beerel, SystemVerilog modeling of SFQ and AQFP circuits. IEEE Trans. Appl. Supercond. 30(2), 1–13 (2020)CrossRef R.N. Tadros, A. Fayyazi, M. Pedram, P.A. Beerel, SystemVerilog modeling of SFQ and AQFP circuits. IEEE Trans. Appl. Supercond. 30(2), 1–13 (2020)CrossRef
428.
go back to reference L.W. Nagel, D.O. Pederson, SPICE (Simulation Program with Integrated Circuit Emphasis), EECS Department, University of California, Berkeley, Technical Report UCB/ERL M382, April 1973 L.W. Nagel, D.O. Pederson, SPICE (Simulation Program with Integrated Circuit Emphasis), EECS Department, University of California, Berkeley, Technical Report UCB/ERL M382, April 1973
429.
go back to reference S.R. Whiteley, Josephson junctions in SPICE3, IEEE Trans. Magn. 27(2), 2902–2905 (1991)CrossRef S.R. Whiteley, Josephson junctions in SPICE3, IEEE Trans. Magn. 27(2), 2902–2905 (1991)CrossRef
430.
go back to reference E.S. Fang, T. Van Duzer, A Josephson integrated circuit simulator (JSIM) for superconductive electronics application, in Proceedings of the IEEE International Superconductive Electronics Conference (1989), pp. 407–410 E.S. Fang, T. Van Duzer, A Josephson integrated circuit simulator (JSIM) for superconductive electronics application, in Proceedings of the IEEE International Superconductive Electronics Conference (1989), pp. 407–410
431.
go back to reference J.A. Delport, K. Jackman, P.l. Roux, C.J. Fourie, JoSIM – superconductor SPICE simulator. IEEE Trans. Appl. Supercond. 29(5), 1–5 (2019) J.A. Delport, K. Jackman, P.l. Roux, C.J. Fourie, JoSIM – superconductor SPICE simulator. IEEE Trans. Appl. Supercond. 29(5), 1–5 (2019)
432.
go back to reference S. Polonsky, P. Shevchenko, A. Kirichenko, D. Zinoviev, A. Rylyakov, PSCAN’96: new software for simulation and optimization of complex RSFQ circuits. IEEE Trans. Appl. Supercond. 7(2), 2685–2689 (1997)CrossRef S. Polonsky, P. Shevchenko, A. Kirichenko, D. Zinoviev, A. Rylyakov, PSCAN’96: new software for simulation and optimization of complex RSFQ circuits. IEEE Trans. Appl. Supercond. 7(2), 2685–2689 (1997)CrossRef
434.
go back to reference N.R. Werthamer, Nonlinear self-coupling of Josephson radiation in superconducting tunnel junctions. Phys. Rev. 147, 255–263 (1966)CrossRef N.R. Werthamer, Nonlinear self-coupling of Josephson radiation in superconducting tunnel junctions. Phys. Rev. 147, 255–263 (1966)CrossRef
435.
go back to reference A. Odintsov, V. Semenov, A. Zorin, Specific problems of numerical analysis of the Josephson junction circuits. IEEE Trans. Magn. 23(2), 763–766 (1987)CrossRef A. Odintsov, V. Semenov, A. Zorin, Specific problems of numerical analysis of the Josephson junction circuits. IEEE Trans. Magn. 23(2), 763–766 (1987)CrossRef
436.
go back to reference A. De Lustrac, P. Crozat, R. Adde, A picosecond Josephson junction model for circuit simulation. Revue de Physique Appliquée 21(5), 319–326 (1986)CrossRef A. De Lustrac, P. Crozat, R. Adde, A picosecond Josephson junction model for circuit simulation. Revue de Physique Appliquée 21(5), 319–326 (1986)CrossRef
437.
go back to reference S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, C.J. Galbraith, L.M. Johnson, M.A. Gouker, V.K. Semenov, Inductance of circuit structures for MIT LL superconductor electronics fabrication process with 8 niobium layers. IEEE Trans. Appl. Supercond. 25(3), 1–5 (2015) S.K. Tolpygo, V. Bolkhovsky, T.J. Weir, C.J. Galbraith, L.M. Johnson, M.A. Gouker, V.K. Semenov, Inductance of circuit structures for MIT LL superconductor electronics fabrication process with 8 niobium layers. IEEE Trans. Appl. Supercond. 25(3), 1–5 (2015)
439.
go back to reference J.C. Rautio, R.F. Harrington, An electromagnetic time-harmonic analysis of shielded microstrip circuits. IEEE Trans. Microwave Theory Tech. 35(8), 726–730 (1987)CrossRef J.C. Rautio, R.F. Harrington, An electromagnetic time-harmonic analysis of shielded microstrip circuits. IEEE Trans. Microwave Theory Tech. 35(8), 726–730 (1987)CrossRef
441.
go back to reference K. U-Yen, K. Rostem, E.J. Wollack, Modeling strategies for superconducting microstrip transmission line structures. IEEE Trans. Appl. Supercond. 28(6), 1–5 (2018)CrossRef K. U-Yen, K. Rostem, E.J. Wollack, Modeling strategies for superconducting microstrip transmission line structures. IEEE Trans. Appl. Supercond. 28(6), 1–5 (2018)CrossRef
442.
go back to reference M. Kamon, M.J. Tsuk, J.K. White, FASTHENRY: a multipole-accelerated 3-D inductance extraction program. IEEE Trans. Microwave Theory Tech. 42(9), 1750–1758 (1994)CrossRef M. Kamon, M.J. Tsuk, J.K. White, FASTHENRY: a multipole-accelerated 3-D inductance extraction program. IEEE Trans. Microwave Theory Tech. 42(9), 1750–1758 (1994)CrossRef
443.
go back to reference I.P. Vaisband, R. Jakushokas, M. Popovich, A.V. Mezhiba, S. Köse, E.G. Friedman, On-Chip Power Delivery and Management, 4th edn. (Springer, Berlin, 2016)CrossRef I.P. Vaisband, R. Jakushokas, M. Popovich, A.V. Mezhiba, S. Köse, E.G. Friedman, On-Chip Power Delivery and Management, 4th edn. (Springer, Berlin, 2016)CrossRef
444.
go back to reference B. Guan, M.J. Wengler, P. Rott, M.J. Feldman, Inductance estimation for complicated superconducting thin film structures with a finite segment method. IEEE Trans. Appl. Supercond. 7(2), 2776–2779 (1997)CrossRef B. Guan, M.J. Wengler, P. Rott, M.J. Feldman, Inductance estimation for complicated superconducting thin film structures with a finite segment method. IEEE Trans. Appl. Supercond. 7(2), 2776–2779 (1997)CrossRef
446.
go back to reference K. Jackman, C.J. Fourie, Fast multicore FastHenry and a tetrahedral modeling method for inductance extraction of complex 3D geometries, in Proceedings of the IEEE International Superconductive Electronics Conference (2015), pp. 1–3 K. Jackman, C.J. Fourie, Fast multicore FastHenry and a tetrahedral modeling method for inductance extraction of complex 3D geometries, in Proceedings of the IEEE International Superconductive Electronics Conference (2015), pp. 1–3
447.
go back to reference K. Jackman, C.J. Fourie, Tetrahedral modeling method for inductance extraction of complex 3-D superconducting structures. IEEE Trans. Appl. Supercond. 26(3), 1–5 (2016)CrossRef K. Jackman, C.J. Fourie, Tetrahedral modeling method for inductance extraction of complex 3-D superconducting structures. IEEE Trans. Appl. Supercond. 26(3), 1–5 (2016)CrossRef
448.
go back to reference M.M. Khapaev, Inductance extraction of multilayer finite-thickness superconductor circuits. IEEE Trans. Microwave Theory Tech. 49(1), 217–220 (2001)CrossRef M.M. Khapaev, Inductance extraction of multilayer finite-thickness superconductor circuits. IEEE Trans. Microwave Theory Tech. 49(1), 217–220 (2001)CrossRef
449.
go back to reference M.M. Khapaev, A.Y. Kidiyarova-Shevchenko, P. Magnelind, M.Y. Kupriyanov, 3D-MLSI: software package for inductance calculation in multilayer superconducting integrated circuits. IEEE Trans. Appl. Supercond. 11(1), 1090–1093 (2001)CrossRef M.M. Khapaev, A.Y. Kidiyarova-Shevchenko, P. Magnelind, M.Y. Kupriyanov, 3D-MLSI: software package for inductance calculation in multilayer superconducting integrated circuits. IEEE Trans. Appl. Supercond. 11(1), 1090–1093 (2001)CrossRef
450.
go back to reference M.M. Khapaev, M.Y. Kupriyanov, E. Goldobin, M. Siegel, Current distribution simulation for superconducting multi-layered structures. Supercond. Sci. Technol. 16(1), 24–27 (2002)CrossRef M.M. Khapaev, M.Y. Kupriyanov, E. Goldobin, M. Siegel, Current distribution simulation for superconducting multi-layered structures. Supercond. Sci. Technol. 16(1), 24–27 (2002)CrossRef
451.
go back to reference M.M. Khapaev, M.Y. Kupriyanov, Inductance extraction of superconductor structures with internal current sources. Supercond. Sci. Technol. 28(5), 055013 (2015) M.M. Khapaev, M.Y. Kupriyanov, Inductance extraction of superconductor structures with internal current sources. Supercond. Sci. Technol. 28(5), 055013 (2015)
452.
go back to reference N. Yoshikawa, J. Koshiyama, Top-down RSFQ logic design based on a binary decision diagram. IEEE Trans. Appl. Supercond. 11(1), 1098–1101 (2001)CrossRef N. Yoshikawa, J. Koshiyama, Top-down RSFQ logic design based on a binary decision diagram. IEEE Trans. Appl. Supercond. 11(1), 1098–1101 (2001)CrossRef
453.
go back to reference S.B. Akers, Binary decision diagrams. IEEE Trans. Comput. C-27(6), 509–516 (1978)CrossRef S.B. Akers, Binary decision diagrams. IEEE Trans. Comput. C-27(6), 509–516 (1978)CrossRef
455.
go back to reference J.A. Darringer, W.H. Joyner, C.L. Berman, L. Trevillyan, Logic synthesis through local transformations. IBM J. Res. Develop. 25(4), 272–280 (1981)CrossRef J.A. Darringer, W.H. Joyner, C.L. Berman, L. Trevillyan, Logic synthesis through local transformations. IBM J. Res. Develop. 25(4), 272–280 (1981)CrossRef
457.
go back to reference Q. Xu, C.L. Ayala, N. Takeuchi, Y. Murai, Y. Yamanashi, N. Yoshikawa, Synthesis flow for cell-based adiabatic quantum-flux-parametron structural circuit generation with HDL back-end verification. IEEE Trans. Appl. Supercond. 27(4), 1–5 (2017) Q. Xu, C.L. Ayala, N. Takeuchi, Y. Murai, Y. Yamanashi, N. Yoshikawa, Synthesis flow for cell-based adiabatic quantum-flux-parametron structural circuit generation with HDL back-end verification. IEEE Trans. Appl. Supercond. 27(4), 1–5 (2017)
458.
go back to reference M. Pedram, Y. Wang, Design automation methodology and tools for superconductive electronics, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (2018), pp. 1–6 M. Pedram, Y. Wang, Design automation methodology and tools for superconductive electronics, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (2018), pp. 1–6
459.
go back to reference N. Katam, A. Shafaei, M. Pedram, Design of complex rapid single-flux-quantum cells with application to logic synthesis, in Proceedings of the IEEE International Superconductive Electronics Conference (2017), pp. 1–3 N. Katam, A. Shafaei, M. Pedram, Design of complex rapid single-flux-quantum cells with application to logic synthesis, in Proceedings of the IEEE International Superconductive Electronics Conference (2017), pp. 1–3
460.
go back to reference G. Pasandi, M. Pedram, PBMap: a path balancing technology mapping algorithm for single flux quantum logic circuits. IEEE Trans. Appl. Supercond. 29(4), 1–14 (2019)CrossRef G. Pasandi, M. Pedram, PBMap: a path balancing technology mapping algorithm for single flux quantum logic circuits. IEEE Trans. Appl. Supercond. 29(4), 1–14 (2019)CrossRef
461.
go back to reference T. Soyata, E.G. Friedman, J.H. Mulligan Jr., Incorporating interconnect, register, and clock distribution delays into the retiming process. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 16(1), 105–120 (1997)CrossRef T. Soyata, E.G. Friedman, J.H. Mulligan Jr., Incorporating interconnect, register, and clock distribution delays into the retiming process. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 16(1), 105–120 (1997)CrossRef
462.
go back to reference N. Kito, K. Takagi, N. Takagi, Conversion of a CMOS logic circuit design to an RSFQ design considering latching function of RSFQ logic gates. IEEE Trans. Appl. Supercond. 25(3), 1–5 (2015)CrossRef N. Kito, K. Takagi, N. Takagi, Conversion of a CMOS logic circuit design to an RSFQ design considering latching function of RSFQ logic gates. IEEE Trans. Appl. Supercond. 25(3), 1–5 (2015)CrossRef
463.
go back to reference C.M. Fiduccia, R.M. Mattheyses, A linear-time heuristic for improving network partitions, in Proceedings of the ACM/IEEE Design Automation Conference (1982), pp. 175–181 C.M. Fiduccia, R.M. Mattheyses, A linear-time heuristic for improving network partitions, in Proceedings of the ACM/IEEE Design Automation Conference (1982), pp. 175–181
464.
go back to reference M. Tanaka, K. Obata, Y. Ito, S. Takeshima, M. Sato, K. Takagi, N. Takagi, H. Akaike, A. Fujimaki, Automated passive-transmission-line routing tool for single-flux-quantum circuits based on A* algorithm. IEICE Trans. Electron. E93.C(4), 435–439 (2010) M. Tanaka, K. Obata, Y. Ito, S. Takeshima, M. Sato, K. Takagi, N. Takagi, H. Akaike, A. Fujimaki, Automated passive-transmission-line routing tool for single-flux-quantum circuits based on A* algorithm. IEICE Trans. Electron. E93.C(4), 435–439 (2010)
465.
go back to reference P.E. Hart, N.J. Nilsson, B. Raphael, A formal basis for the heuristic determination of minimum cost paths. IEEE Trans. Syst. Sci. Cybernet. 4(2), 100–107 (1968)CrossRef P.E. Hart, N.J. Nilsson, B. Raphael, A formal basis for the heuristic determination of minimum cost paths. IEEE Trans. Syst. Sci. Cybernet. 4(2), 100–107 (1968)CrossRef
466.
go back to reference N. Kito, K. Takagi, N. Takagi, Automatic wire-routing of SFQ digital circuits considering wire-length matching. IEEE Trans. Appl. Supercond. 26(3), 1–5 (2016)CrossRef N. Kito, K. Takagi, N. Takagi, Automatic wire-routing of SFQ digital circuits considering wire-length matching. IEEE Trans. Appl. Supercond. 26(3), 1–5 (2016)CrossRef
467.
go back to reference C.H. Papadimitriou, K. Steiglitz, Combinatorial Optimization: Algorithms and Complexity (Dover, Mineola, 1998) C.H. Papadimitriou, K. Steiglitz, Combinatorial Optimization: Algorithms and Complexity (Dover, Mineola, 1998)
468.
go back to reference N. Kito, K. Takagi, N. Takagi, A fast wire-routing method and an automatic layout tool for RSFQ digital circuits considering wirelength matching. IEEE Trans. Appl. Supercond. 28(4), 1–5 (2018)CrossRef N. Kito, K. Takagi, N. Takagi, A fast wire-routing method and an automatic layout tool for RSFQ digital circuits considering wirelength matching. IEEE Trans. Appl. Supercond. 28(4), 1–5 (2018)CrossRef
469.
470.
go back to reference P. Cheng, K. Takagi, T. Ho, Multi-terminal routing with length-matching for rapid single flux quantum circuits, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (2018), pp. 1–6 P. Cheng, K. Takagi, T. Ho, Multi-terminal routing with length-matching for rapid single flux quantum circuits, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (2018), pp. 1–6
472.
go back to reference C.Y. Lee, An algorithm for path connections and its applications. IRE Trans. Electron. Comput. EC-10(3), 346–365 (1961)MathSciNetCrossRef C.Y. Lee, An algorithm for path connections and its applications. IRE Trans. Electron. Comput. EC-10(3), 346–365 (1961)MathSciNetCrossRef
473.
go back to reference M. Kim, D. Lee, I.L. Markov, SimPL: an effective placement algorithm. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 31(1), 50–60 (2012)CrossRef M. Kim, D. Lee, I.L. Markov, SimPL: an effective placement algorithm. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 31(1), 50–60 (2012)CrossRef
474.
go back to reference T. Dejima, K. Takagi, N. Takagi, Placement and routing methods based on mixed wiring of JTLs and PTLs for RSFQ circuits, in Proceedings of the IEEE International Superconductive Electronics Conference (2019), pp. 1–3 T. Dejima, K. Takagi, N. Takagi, Placement and routing methods based on mixed wiring of JTLs and PTLs for RSFQ circuits, in Proceedings of the IEEE International Superconductive Electronics Conference (2019), pp. 1–3
475.
go back to reference S. Nath, K. English, A. Derrickson, A. Haslam, J.F. McDonald, An automatic placement and routing methodology for asynchronous SFQ circuit design. IEEE Trans. Appl. Supercond. 30(3), 1–10 (2020)CrossRef S. Nath, K. English, A. Derrickson, A. Haslam, J.F. McDonald, An automatic placement and routing methodology for asynchronous SFQ circuit design. IEEE Trans. Appl. Supercond. 30(3), 1–10 (2020)CrossRef
476.
go back to reference Y. Murai, C.L. Ayala, N. Takeuchi, Y. Yamanashi, N. Yoshikawa, Development and demonstration of routing and placement EDA tools for large-scale adiabatic quantum-flux-parametron circuits. IEEE Trans. Appl. Supercond. 27(6), 1–9 (2017)CrossRef Y. Murai, C.L. Ayala, N. Takeuchi, Y. Yamanashi, N. Yoshikawa, Development and demonstration of routing and placement EDA tools for large-scale adiabatic quantum-flux-parametron circuits. IEEE Trans. Appl. Supercond. 27(6), 1–9 (2017)CrossRef
477.
go back to reference T. Tanaka, C.L. Ayala, Q. Xu, R. Saito, N. Yoshikawa, Fabrication of adiabatic quantum-flux-parametron integrated circuits using an automatic placement tool based on genetic algorithms. IEEE Trans. Appl. Supercond. 29(5), 1–6 (2019) T. Tanaka, C.L. Ayala, Q. Xu, R. Saito, N. Yoshikawa, Fabrication of adiabatic quantum-flux-parametron integrated circuits using an automatic placement tool based on genetic algorithms. IEEE Trans. Appl. Supercond. 29(5), 1–6 (2019)
478.
go back to reference J. Lienig, K. Thulasiraman, A genetic algorithm for channel routing in VLSI circuits. Evol. Comput. 1(4), 293–311 (1993)CrossRef J. Lienig, K. Thulasiraman, A genetic algorithm for channel routing in VLSI circuits. Evol. Comput. 1(4), 293–311 (1993)CrossRef
479.
go back to reference T. Yoshimura, E.S. Kuh, Efficient algorithms for channel routing. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 1(1), 25–35 (1982)CrossRef T. Yoshimura, E.S. Kuh, Efficient algorithms for channel routing. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 1(1), 25–35 (1982)CrossRef
480.
go back to reference M. El-Moursy, E.G. Friedman, On-Chip Inductive Interconnect Design Methodologies (VDM Verlag Dr. Muller Aktiengesellschaft & Company, Riga, 2009) M. El-Moursy, E.G. Friedman, On-Chip Inductive Interconnect Design Methodologies (VDM Verlag Dr. Muller Aktiengesellschaft & Company, Riga, 2009)
481.
go back to reference M.E. Celik, A. Bozbey, Statistical timing analysis tool for SFQ cells (STATS), in Proceedings of the IEEE International Superconductive Electronics Conference, No. PA23 (2013), pp. 1–3 M.E. Celik, A. Bozbey, Statistical timing analysis tool for SFQ cells (STATS), in Proceedings of the IEEE International Superconductive Electronics Conference, No. PA23 (2013), pp. 1–3
482.
go back to reference T. Kawaguchi, K. Takagi, N. Takagi, Static timing analysis of rapid single-flux-quantum circuits, in Proceedings of the Workshop on Synthesis and System Integration of Mixed Information Technologies (2016), pp. 341–345 T. Kawaguchi, K. Takagi, N. Takagi, Static timing analysis of rapid single-flux-quantum circuits, in Proceedings of the Workshop on Synthesis and System Integration of Mixed Information Technologies (2016), pp. 341–345
483.
go back to reference J.A. Delport, C.J. Fourie, A static timing analysis tool for RSFQ and ERSFQ superconducting digital circuit applications. IEEE Trans. Appl. Supercond. 28(5), 1–5 (2018)CrossRef J.A. Delport, C.J. Fourie, A static timing analysis tool for RSFQ and ERSFQ superconducting digital circuit applications. IEEE Trans. Appl. Supercond. 28(5), 1–5 (2018)CrossRef
484.
go back to reference M. Dorojevets, Architecture and design of an 8-Bit FLUX-1 superconductor RSFQ microprocessor. Int. J. High Speed Electron. Syst. 12(2), 521–529 (2002)CrossRef M. Dorojevets, Architecture and design of an 8-Bit FLUX-1 superconductor RSFQ microprocessor. Int. J. High Speed Electron. Syst. 12(2), 521–529 (2002)CrossRef
485.
go back to reference S.-Y. Huang, K.-T.T. Cheng, Formal Equivalence Checking and Design Debugging (Springer Science & Business Media, Berlin, 2012) S.-Y. Huang, K.-T.T. Cheng, Formal Equivalence Checking and Design Debugging (Springer Science & Business Media, Berlin, 2012)
486.
go back to reference A. Fayyazi, S. Nazarian, M. Pedram, qEC: a logical equivalence checking framework targeting SFQ superconducting circuits, in Proceedings of the IEEE International Superconductive Electronics Conference (2019), pp. 1–3 A. Fayyazi, S. Nazarian, M. Pedram, qEC: a logical equivalence checking framework targeting SFQ superconducting circuits, in Proceedings of the IEEE International Superconductive Electronics Conference (2019), pp. 1–3
487.
go back to reference A.D. Wong, K. Su, H. Sun, A. Fayyazi, M. Pedram, S. Nazarian, VeriSFQ: a semi-formal verification framework and benchmark for single flux quantum technology, in Proceedings of the IEEE International Symposium on Quality Electronic Design (2019), pp. 224–230 A.D. Wong, K. Su, H. Sun, A. Fayyazi, M. Pedram, S. Nazarian, VeriSFQ: a semi-formal verification framework and benchmark for single flux quantum technology, in Proceedings of the IEEE International Symposium on Quality Electronic Design (2019), pp. 224–230
488.
go back to reference I. Stotland, D. Shpagilev, N. Starikovskaya, UVM based approaches to functional verification of communication controllers of microprocessor systems, in Proceedings of the IEEE East-West Design & Test Symposium (2016), pp. 1–4 I. Stotland, D. Shpagilev, N. Starikovskaya, UVM based approaches to functional verification of communication controllers of microprocessor systems, in Proceedings of the IEEE East-West Design & Test Symposium (2016), pp. 1–4
489.
go back to reference V. Adler, C.-H. Cheah, K. Gaj, D.K. Brock, E.G. Friedman, A cadence-based design environment for single flux quantum circuits. IEEE Trans. Appl. Supercond. 7(2), 3294–3297 (1997)CrossRef V. Adler, C.-H. Cheah, K. Gaj, D.K. Brock, E.G. Friedman, A cadence-based design environment for single flux quantum circuits. IEEE Trans. Appl. Supercond. 7(2), 3294–3297 (1997)CrossRef
490.
go back to reference R.M.C. Roberts, C.J. Fourie, Layout-versus-schematic verification for superconductive integrated circuits. IEEE Trans. Appl. Supercond. 25(3), 1–5 (2015) R.M.C. Roberts, C.J. Fourie, Layout-versus-schematic verification for superconductive integrated circuits. IEEE Trans. Appl. Supercond. 25(3), 1–5 (2015)
Metadata
Title
EDA for Superconductive Electronics
Authors
Gleb Krylov
Tahereh Jabbari
Eby G. Friedman
Copyright Year
2024
DOI
https://doi.org/10.1007/978-3-031-47475-0_14