Skip to main content
Top
Published in: Rare Metals 11/2020

31-05-2014

Electrolyte composition and galvanic corrosion for ruthenium/copper electrochemical mechanical polishing

Authors: Yan-Fei Bian, Wen-Jie Zhai, Yuan-Yuan Cheng, Bao-Quan Zhu

Published in: Rare Metals | Issue 11/2020

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Electrochemical mechanical polishing (ECMP) is a new and highly promising technology. A specific challenge for integrating Ru as barrier in Cu interconnect structures is the galvanic corrosion of Cu that occurs during ECMP. To mitigate the problem, the benzotriazole (BTA) and ascorbic acid (AA) were chosen as selective anodic and cathodic inhibitors for Cu and Ru, respectively. The optimization of electrolytes at different pHs including BTA, hydroxyethylidenediphosphoric acid (HEDP), and AA were investigated using electrochemical methods. The Ru/Cu removal rate and the planarization efficiency during Ru/Cu ECMP can be approximated using electrochemical measurements of the removal rate, with and without surface abrasion. Chemical systems that exhibit a 1:1 selectivity between the barrier layer and copper would be ideal for the barrier removal step of ECMP. Optimized slurry consists of 20.0 wt% HEDP, 0.5 wt% BTA, and 0.3 wt% AA at pH 2.2. Using the optimized slurry, the selectivity of Ru to Cu is near 1. Electrochemical measurements of open circuit potentials, potentiodynamic polarization, and impedance spectroscopy were performed to investigate the galvanic corrosion between ruthenium and copper.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
[1]
go back to reference Elshocht SV, Delabie A, Dewilde S, Meersschaut J, Swerts J, Tielens H, Verdonck P, Witters T, Vancoille E. ALD barrier deposition on porous low-k dielectric materials for interconnects. ECS Trans. 2011;41(2):25. Elshocht SV, Delabie A, Dewilde S, Meersschaut J, Swerts J, Tielens H, Verdonck P, Witters T, Vancoille E. ALD barrier deposition on porous low-k dielectric materials for interconnects. ECS Trans. 2011;41(2):25.
[2]
go back to reference Zhou W, Bailey S, Sooryakumar R, King S, Xu G, Mays E, Ege C, Bielefeld J. Elastic properties of porous low-k dielectric nano-films. J Appl Phys. 2011;110(4):043520. Zhou W, Bailey S, Sooryakumar R, King S, Xu G, Mays E, Ege C, Bielefeld J. Elastic properties of porous low-k dielectric nano-films. J Appl Phys. 2011;110(4):043520.
[3]
go back to reference Xi K, He H, Xu D, Ge RJ, Meng Z, Jia XD, Yu XH. Ultra low dielectric constant polysilsequioxane films using T8(Me4NO)8 as porogen. Thin Solid Films. 2010;518(17):4768. Xi K, He H, Xu D, Ge RJ, Meng Z, Jia XD, Yu XH. Ultra low dielectric constant polysilsequioxane films using T8(Me4NO)8 as porogen. Thin Solid Films. 2010;518(17):4768.
[4]
go back to reference Terence KSW. Time dependent dielectric breakdown in copper low-k interconnects: mechanisms and reliability models. Materials. 2012;5(9):1602. Terence KSW. Time dependent dielectric breakdown in copper low-k interconnects: mechanisms and reliability models. Materials. 2012;5(9):1602.
[5]
go back to reference Chen F, Shinosky M. Soft breakdown characteristics of ultra low-k time-dependent dielectric breakdown for advanced complementary metal-oxide semiconductor technologies. J Appl Phys. 2010;108(5):054107. Chen F, Shinosky M. Soft breakdown characteristics of ultra low-k time-dependent dielectric breakdown for advanced complementary metal-oxide semiconductor technologies. J Appl Phys. 2010;108(5):054107.
[6]
go back to reference Zhao L, Tokei Z, Croes K, Wilson CJ, Baklanov M, Beyer GP, Claeys C. Direct observation of the 1/E dependence of time dependent dielectric breakdown in the presence of copper. Appl Phys Lett. 2011;98(2):023107. Zhao L, Tokei Z, Croes K, Wilson CJ, Baklanov M, Beyer GP, Claeys C. Direct observation of the 1/E dependence of time dependent dielectric breakdown in the presence of copper. Appl Phys Lett. 2011;98(2):023107.
[7]
go back to reference Lin WC, Lin J, Tsai TC, Hsu CM, Liu CC, Lin JF, Hwang CC, Wu JY. Effects of Cu surface roughness on TDDB for direct polishing ultra-low k dielectric Cu interconnects at 40 nm technology node and beyond. Microelectron Eng. 2012;92(6):115. Lin WC, Lin J, Tsai TC, Hsu CM, Liu CC, Lin JF, Hwang CC, Wu JY. Effects of Cu surface roughness on TDDB for direct polishing ultra-low k dielectric Cu interconnects at 40 nm technology node and beyond. Microelectron Eng. 2012;92(6):115.
[8]
go back to reference He M, Novak S, Vanamurthy L, Bakhru H, Plawsky J, Lu TM. Cu penetration into low-k dielectric during deposition and bias-temperature stress. Appl Phys Lett. 2010;97(25):252901. He M, Novak S, Vanamurthy L, Bakhru H, Plawsky J, Lu TM. Cu penetration into low-k dielectric during deposition and bias-temperature stress. Appl Phys Lett. 2010;97(25):252901.
[9]
go back to reference Bian YF, Zhai WJ, Zhu BQ. 5-Methyl-1H-Benzotriazole as a potential corrosion inhibitor for electrochemical mechanical planarization of copper. Trans Nonferr Met Soc China. 2013;23(8):2431. Bian YF, Zhai WJ, Zhu BQ. 5-Methyl-1H-Benzotriazole as a potential corrosion inhibitor for electrochemical mechanical planarization of copper. Trans Nonferr Met Soc China. 2013;23(8):2431.
[10]
go back to reference Gao F, Liang H. Transformable oxidation of tantalum in electrochemical mechanical polishing (ECMP). J Electron Mater. 2011;40(2):134. Gao F, Liang H. Transformable oxidation of tantalum in electrochemical mechanical polishing (ECMP). J Electron Mater. 2011;40(2):134.
[11]
go back to reference Lee SJ, Chen YH, Liu CP, Fan TJ. Electrochemical mechanical polishing of flexible stainless steel substrate for thin-film solar cells. Int J Electrochem Sci. 2013;8(2):6878. Lee SJ, Chen YH, Liu CP, Fan TJ. Electrochemical mechanical polishing of flexible stainless steel substrate for thin-film solar cells. Int J Electrochem Sci. 2013;8(2):6878.
[12]
go back to reference Cojocaru P, Muscolino F, Magagnin L. Effect of organic additives on copper dissolution for e-CMP. Microelectron Eng. 2010;87(11):2187. Cojocaru P, Muscolino F, Magagnin L. Effect of organic additives on copper dissolution for e-CMP. Microelectron Eng. 2010;87(11):2187.
[13]
go back to reference Shin J, Kim HW, Hwang GS, Ekerdt JG. Chemical routes to ultra thin films for copper barriers and liners. Surf Coat Technol. 2007;201(22–23):9256. Shin J, Kim HW, Hwang GS, Ekerdt JG. Chemical routes to ultra thin films for copper barriers and liners. Surf Coat Technol. 2007;201(22–23):9256.
[14]
go back to reference Chyan O, Triuchirapalli NA, Thornas P. Electrodeposition of copper thin film on ruthenium. J Electrochem Soc. 2003;150(5):C347. Chyan O, Triuchirapalli NA, Thornas P. Electrodeposition of copper thin film on ruthenium. J Electrochem Soc. 2003;150(5):C347.
[15]
go back to reference Josell D, Bonevich JE, Moffat TP, Aaltonen T, Ritala M, Leskela M. Erratum: iridium barriers for direct copper electrodeposition in damascene processing. Electrochem Solid-State Lett. 2006;9(5):C48. Josell D, Bonevich JE, Moffat TP, Aaltonen T, Ritala M, Leskela M. Erratum: iridium barriers for direct copper electrodeposition in damascene processing. Electrochem Solid-State Lett. 2006;9(5):C48.
[16]
go back to reference Chan R. Diffusion studies of copper on ruthenium thin film. Electrochem Solid-State Lett. 2004;7(8):G154. Chan R. Diffusion studies of copper on ruthenium thin film. Electrochem Solid-State Lett. 2004;7(8):G154.
[17]
go back to reference Josell D, Wheeler D, Witt C, Moffat TP. Seedless superfill: copper electrodeposition in trenches with ruthenium barriers. Electrochem Solid-State Lett. 2003;6(10):C143. Josell D, Wheeler D, Witt C, Moffat TP. Seedless superfill: copper electrodeposition in trenches with ruthenium barriers. Electrochem Solid-State Lett. 2003;6(10):C143.
[18]
go back to reference Arunagiri TN, Zhang Y, Chyan O. 5 nm ruthenium thin film as a directly plateable copper diffusion barrier. Appl Phys Lett. 2005;86(8):083104. Arunagiri TN, Zhang Y, Chyan O. 5 nm ruthenium thin film as a directly plateable copper diffusion barrier. Appl Phys Lett. 2005;86(8):083104.
[19]
go back to reference Burke L, Naser N, Sharna R. The oxide electrochemistry of ruthenium and its relevance to trench liner applications in damascene copper plating. J Appl Electrochem. 2008;38(3):377. Burke L, Naser N, Sharna R. The oxide electrochemistry of ruthenium and its relevance to trench liner applications in damascene copper plating. J Appl Electrochem. 2008;38(3):377.
[20]
go back to reference Kim IK, Kang YJ, Kwon TY, Cho BG, Park JG, Park JY, Park HS. Effect of sodium periodate in alumina-based slurry on Ru CMP for metal-insulator-metal capacitor. Electrochem Solid-State Lett. 2008;11(6):H150. Kim IK, Kang YJ, Kwon TY, Cho BG, Park JG, Park JY, Park HS. Effect of sodium periodate in alumina-based slurry on Ru CMP for metal-insulator-metal capacitor. Electrochem Solid-State Lett. 2008;11(6):H150.
[21]
go back to reference Lee WJ, Park HS, Lee SI, Sohn HC. Effects of ceric ammonium nitrate (CAN) additive in HNO3 solution on the electrochemical behaviour of ruthenium for CMP processes. J Appl Electrochem. 2004;34(1):119. Lee WJ, Park HS, Lee SI, Sohn HC. Effects of ceric ammonium nitrate (CAN) additive in HNO3 solution on the electrochemical behaviour of ruthenium for CMP processes. J Appl Electrochem. 2004;34(1):119.
[22]
go back to reference Mahadevaiyer K, Nalaskowski JW, Cook LM. Chemical mechanical planarization: slurry chemistry, materials, and mechanisms. Chem Rev. 2010;110(1):178. Mahadevaiyer K, Nalaskowski JW, Cook LM. Chemical mechanical planarization: slurry chemistry, materials, and mechanisms. Chem Rev. 2010;110(1):178.
[23]
go back to reference Seo SC, Yang CC, Hu CK, Kreber A, Fan S, Horak D, Canaperi D, Rao SP, Haran B, Doris B. Thermal stability of copper contact metallization using Ru-containing liner. Electrochem Solid-State Lett. 2011;14(5):H187. Seo SC, Yang CC, Hu CK, Kreber A, Fan S, Horak D, Canaperi D, Rao SP, Haran B, Doris B. Thermal stability of copper contact metallization using Ru-containing liner. Electrochem Solid-State Lett. 2011;14(5):H187.
[24]
go back to reference Tamboli D, Osso JO, Mcevoy T, Vega LF, Rao M, Banerjee G. Investigating the compatibility of ruthenium barrier with copper interconnects. ECS Trans. 2010;33(10):181. Tamboli D, Osso JO, Mcevoy T, Vega LF, Rao M, Banerjee G. Investigating the compatibility of ruthenium barrier with copper interconnects. ECS Trans. 2010;33(10):181.
[25]
go back to reference Shima S, Fukunaga A, Tsujimura M. Effects of liner metal and CMP slurry oxidizer on copper galvanic corrosion. ECS Trans. 2007;11(6):285. Shima S, Fukunaga A, Tsujimura M. Effects of liner metal and CMP slurry oxidizer on copper galvanic corrosion. ECS Trans. 2007;11(6):285.
[26]
go back to reference Peethala BC, Roy D, Babu SV. Controlling the galvanic corrosion of copper during chemical mechanical planarization of ruthenium barrier films. Electrochem Solid-State Lett. 2011;14(8):H306. Peethala BC, Roy D, Babu SV. Controlling the galvanic corrosion of copper during chemical mechanical planarization of ruthenium barrier films. Electrochem Solid-State Lett. 2011;14(8):H306.
[27]
go back to reference Oldfield J. Electrochemical theory of galvanic corrosion. In: Harvey PH, editor. Galvanic Corrosion. Philadelphia: American Society for Testing and Materials; 1988. 5. Oldfield J. Electrochemical theory of galvanic corrosion. In: Harvey PH, editor. Galvanic Corrosion. Philadelphia: American Society for Testing and Materials; 1988. 5.
[28]
go back to reference Tromans D. Aqueous potential-pH equilibria in copper- benzotriazole systems. J Electrochem Soc. 1998;145(3):L42. Tromans D. Aqueous potential-pH equilibria in copper- benzotriazole systems. J Electrochem Soc. 1998;145(3):L42.
[29]
go back to reference Hong Y, Devarapalli VK, Roy D, Babu SV. Synergistic roles of dodecyl sulfate and benzotriazole in enhancing the efficiency of CMP of copper. J Electrochem Soc. 2007;154(6):H444. Hong Y, Devarapalli VK, Roy D, Babu SV. Synergistic roles of dodecyl sulfate and benzotriazole in enhancing the efficiency of CMP of copper. J Electrochem Soc. 2007;154(6):H444.
[30]
go back to reference Ferreira ES, Giacomelli C, Giacomelli FC, Spinelli A. Evaluation of the inhibitor effect of l-ascorbic acid on the corrosion of mild steel. Mater Chem Phys. 2004;83(1):129. Ferreira ES, Giacomelli C, Giacomelli FC, Spinelli A. Evaluation of the inhibitor effect of l-ascorbic acid on the corrosion of mild steel. Mater Chem Phys. 2004;83(1):129.
[31]
go back to reference Akrout H, Maximovitch S, Bousselmi L, Triki E, Dalard F. Evaluation of corrosion non toxic inhibitor adsorption for steel in near neutral solution: l(+) ascorbic acid. Mater Corros. 2007;58(3):202. Akrout H, Maximovitch S, Bousselmi L, Triki E, Dalard F. Evaluation of corrosion non toxic inhibitor adsorption for steel in near neutral solution: l(+) ascorbic acid. Mater Corros. 2007;58(3):202.
[32]
go back to reference Amin MA. Role of dissolved oxygen reduction in improvement inhibition performance of ascorbic acid during copper corrosion in 0.50 mol·L−1 sulphuric acid. Chin Chem Lett. 2010;21(3):341. Amin MA. Role of dissolved oxygen reduction in improvement inhibition performance of ascorbic acid during copper corrosion in 0.50 mol·L−1 sulphuric acid. Chin Chem Lett. 2010;21(3):341.
[33]
go back to reference Wang K, Li YZ, Kang RK, Guo DM. Generation and removal of pits during chemical mechanical polishing process for MgO single crystal substrate. Appl Surf Sci. 2010;256(9):2691. Wang K, Li YZ, Kang RK, Guo DM. Generation and removal of pits during chemical mechanical polishing process for MgO single crystal substrate. Appl Surf Sci. 2010;256(9):2691.
[34]
go back to reference Huo J, Solanki R, Mcandrew J. Electrochemical polishing of copper for microelectronic applications. Surf Eng. 2003;19(1):11. Huo J, Solanki R, Mcandrew J. Electrochemical polishing of copper for microelectronic applications. Surf Eng. 2003;19(1):11.
[35]
go back to reference Huo J, Solanki R, Mcandrew J. Study of anodic layers and their effects on electropolishing of bulk and electroplated films of copper. J Appl Electrochem. 2004;34:305. Huo J, Solanki R, Mcandrew J. Study of anodic layers and their effects on electropolishing of bulk and electroplated films of copper. J Appl Electrochem. 2004;34:305.
[36]
go back to reference Tripathi A, Suni II, Li YZ, Doniat F, Mcandrew J. Cu electrochemical mechanical planarization surface quality. J Electrochem Soc. 2009;156(7):H555. Tripathi A, Suni II, Li YZ, Doniat F, Mcandrew J. Cu electrochemical mechanical planarization surface quality. J Electrochem Soc. 2009;156(7):H555.
[37]
go back to reference Abhinav T. Electrochemical Mechanical Planarization (Ecmp) of Cu. Omaha: Clarkson University; 2008. 44. Abhinav T. Electrochemical Mechanical Planarization (Ecmp) of Cu. Omaha: Clarkson University; 2008. 44.
[38]
go back to reference Sukhoon J, Sangjik L, Haedo J. Effect of polishing pad with holes in electro-chemical mechanical planarization. Microelectron Eng. 2008;85(11):2236. Sukhoon J, Sangjik L, Haedo J. Effect of polishing pad with holes in electro-chemical mechanical planarization. Microelectron Eng. 2008;85(11):2236.
[39]
go back to reference Chen HY, Chen YJ, Zhang M. Dual-wavelength dual-indicator catalytic kinetic spectrophotometry for determination of trace Ru(III). Rare Met. 2013;32(6):605. Chen HY, Chen YJ, Zhang M. Dual-wavelength dual-indicator catalytic kinetic spectrophotometry for determination of trace Ru(III). Rare Met. 2013;32(6):605.
[40]
go back to reference Shattuck KG, Lin JY, Cojocaru P, West AC. Characterization of phosphate electrolytes for use in Cu electrochemical mechanical planarization. Electrochim Acta. 2008;53(28):8211. Shattuck KG, Lin JY, Cojocaru P, West AC. Characterization of phosphate electrolytes for use in Cu electrochemical mechanical planarization. Electrochim Acta. 2008;53(28):8211.
[41]
go back to reference Padhi D, Yahalom J, Gandikota S, Dixit G. Planarization of copper thin films by electropolishing in phosphoric acid for ULSI applications. J Electrochem Soc. 2003;150(1):G10. Padhi D, Yahalom J, Gandikota S, Dixit G. Planarization of copper thin films by electropolishing in phosphoric acid for ULSI applications. J Electrochem Soc. 2003;150(1):G10.
[42]
go back to reference Abhinav T, Craig B, Ian IS, Li YZ, Francois D, Alex B, James MA. Electrolyte composition for Cu electrochemical mechanical planarization. J Electrochem Soc. 2008;155(11):H918. Abhinav T, Craig B, Ian IS, Li YZ, Francois D, Alex B, James MA. Electrolyte composition for Cu electrochemical mechanical planarization. J Electrochem Soc. 2008;155(11):H918.
[43]
go back to reference Mansfeld F. Area relationships in galvanic corrosion. Corrosion. 1971;27(10):436. Mansfeld F. Area relationships in galvanic corrosion. Corrosion. 1971;27(10):436.
[44]
go back to reference Tuck MC, Rock SE, Amanapu HP, Teugels LG, Roy D. Investigation of percarbonate based slurry chemistry for controlling galvanic corrosion during CMP of ruthenium. ECS J Solid State Sci Technol. 2013;2(5):P205. Tuck MC, Rock SE, Amanapu HP, Teugels LG, Roy D. Investigation of percarbonate based slurry chemistry for controlling galvanic corrosion during CMP of ruthenium. ECS J Solid State Sci Technol. 2013;2(5):P205.
[45]
go back to reference Peethala BC, Roy D, Babu SV. Controlling the galvanic corrosion of copper during chemical mechanical planarization of ruthenium barrier films. Electrochem Solid-State Lett. 2011;14(7):H306. Peethala BC, Roy D, Babu SV. Controlling the galvanic corrosion of copper during chemical mechanical planarization of ruthenium barrier films. Electrochem Solid-State Lett. 2011;14(7):H306.
[46]
go back to reference Cai HZ, Yi JH, Wei QL, Chen L, Wei YHuCY. Effect of reactant gas flow rate on properties of tantalum layer fabricated by chemical vapor deposition. Chin J Rare Met. 2013;37(6):909. Cai HZ, Yi JH, Wei QL, Chen L, Wei YHuCY. Effect of reactant gas flow rate on properties of tantalum layer fabricated by chemical vapor deposition. Chin J Rare Met. 2013;37(6):909.
[47]
go back to reference Kumar S, Batoo KM, Prakash R, Choi HK, Koo BH, Song JI, Chung H, Jeong H, Lee CG. Impedance spectroscopy study on Mn1 + xFe2-2xTixO4 (0 ≤ x≤0.5) ferrites. J Cent South Univ Technol. 2010;17(6):1133. Kumar S, Batoo KM, Prakash R, Choi HK, Koo BH, Song JI, Chung H, Jeong H, Lee CG. Impedance spectroscopy study on Mn1 + xFe2-2xTixO4 (0 ≤ x≤0.5) ferrites. J Cent South Univ Technol. 2010;17(6):1133.
Metadata
Title
Electrolyte composition and galvanic corrosion for ruthenium/copper electrochemical mechanical polishing
Authors
Yan-Fei Bian
Wen-Jie Zhai
Yuan-Yuan Cheng
Bao-Quan Zhu
Publication date
31-05-2014
Publisher
Nonferrous Metals Society of China
Published in
Rare Metals / Issue 11/2020
Print ISSN: 1001-0521
Electronic ISSN: 1867-7185
DOI
https://doi.org/10.1007/s12598-014-0286-3

Other articles of this Issue 11/2020

Rare Metals 11/2020 Go to the issue

Premium Partners