Skip to main content
Top

2010 | OriginalPaper | Chapter

From Statistics to Circuits: Foundations for Future Physical Unclonable Functions

Authors : Inyoung Kim, Abhranil Maiti, Leyla Nazhandali, Patrick Schaumont, Vignesh Vivekraja, Huaiye Zhang

Published in: Towards Hardware-Intrinsic Security

Publisher: Springer Berlin Heidelberg

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Identity is an essential ingredient in secure protocols. Indeed, if we can no longer distinguish Alice from Bob, there is no point in doing a key exchange or in verifying their signatures. A human Alice and a human Bob identify one another based on looks, voice, or gestures.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
2.
go back to reference D.D. Hwang, P. Schaumont, K. Tiri, I. Verbauwhede, Securing embedded systems. IEEE Security and Privacy, 4(2), 40–49 (2006)CrossRef D.D. Hwang, P. Schaumont, K. Tiri, I. Verbauwhede, Securing embedded systems. IEEE Security and Privacy, 4(2), 40–49 (2006)CrossRef
3.
go back to reference P.H. Kvam, B. Vidakovic, Nonparametric Statistics with Applications to Science and Engineering (Wiley-Interscience, Hoboken, NJ, 2007)MATHCrossRef P.H. Kvam, B. Vidakovic, Nonparametric Statistics with Applications to Science and Engineering (Wiley-Interscience, Hoboken, NJ, 2007)MATHCrossRef
4.
go back to reference Y. Dodis, L. Reyzin, A. Smith, in Fuzzy Extractors: How to Generate Strong Keys from Biometrics and Other Noisy Data. Proceedings of EUROCRYPT’04 on Advances in Cryptology, Lecture Notes in Computer Science, vol. 3027 (Springer, Berlin, Heidelberg, 2004), pp. 523–540 Y. Dodis, L. Reyzin, A. Smith, in Fuzzy Extractors: How to Generate Strong Keys from Biometrics and Other Noisy Data. Proceedings of EUROCRYPT’04 on Advances in Cryptology, Lecture Notes in Computer Science, vol. 3027 (Springer, Berlin, Heidelberg, 2004), pp. 523–540
5.
go back to reference P. Tuyls, B. Skoric, T. Kevenaar, Security with Noisy Data: Private Biometrics, Secure Key Storage and Anti-Counterfeiting (Springer-Verlag New York, Inc., Secaucus, NJ, 2007)MATHCrossRef P. Tuyls, B. Skoric, T. Kevenaar, Security with Noisy Data: Private Biometrics, Secure Key Storage and Anti-Counterfeiting (Springer-Verlag New York, Inc., Secaucus, NJ, 2007)MATHCrossRef
6.
go back to reference R. Maes, P. Tuyls, I. Verbauwhede, in Low-Overhead Implementation of a Soft Decision Helper Data Algorithm for SRAM PUFs. Cryptographic Hardware and Embedded Systems - CHES 2009, Lausanne, Switzerland, 6–9 Sept 2009 (Springer Verlag, Berlin, Heidelberg, New York) R. Maes, P. Tuyls, I. Verbauwhede, in Low-Overhead Implementation of a Soft Decision Helper Data Algorithm for SRAM PUFs. Cryptographic Hardware and Embedded Systems - CHES 2009, Lausanne, Switzerland, 6–9 Sept 2009 (Springer Verlag, Berlin, Heidelberg, New York)
7.
go back to reference C. Bösch, J. Guajardo, A.-R. Sadeghi, J. Shokrollahi, P. Tuyls, in Efficient Helper Data Key Extractor on FPGAs. Cryptographic Hardware and Embedded Systems - CHES 2008, Washington, DC, USA, 10–13 Aug 2008 (Springer Verlag, Berlin, Heidelberg, New York) pp. 181–197 C. Bösch, J. Guajardo, A.-R. Sadeghi, J. Shokrollahi, P. Tuyls, in Efficient Helper Data Key Extractor on FPGAs. Cryptographic Hardware and Embedded Systems - CHES 2008, Washington, DC, USA, 10–13 Aug 2008 (Springer Verlag, Berlin, Heidelberg, New York) pp. 181–197
8.
go back to reference Y. Su, J. Holleman, B. Otis, in A 1.6pj/bit 96% Stable Chip-ID Generating Circuit Using Process Variations. Solid-State Circuits Conference, 2007. ISSCC 2007. Digest of Technical Papers. IEEE International, Feb 2007, pp. 406–611 Y. Su, J. Holleman, B. Otis, in A 1.6pj/bit 96% Stable Chip-ID Generating Circuit Using Process Variations. Solid-State Circuits Conference, 2007. ISSCC 2007. Digest of Technical Papers. IEEE International, Feb 2007, pp. 406–611
9.
go back to reference S. Kumar, J. Guajardo, R. Maes, G.-J. Schrijen, P. Tuyls, in Extended Abstract: The Butterfly PUF Protecting IP on Every FPGA. IEEE International Workshop on Hardware-Oriented Security and Trust, 2008. HOST 2008, Anaheim, CA, USA, 9 June, 2008, pp. 67–70 S. Kumar, J. Guajardo, R. Maes, G.-J. Schrijen, P. Tuyls, in Extended Abstract: The Butterfly PUF Protecting IP on Every FPGA. IEEE International Workshop on Hardware-Oriented Security and Trust, 2008. HOST 2008, Anaheim, CA, USA, 9 June, 2008, pp. 67–70
10.
go back to reference D.E. Holcomb, W.P. Burleson, K. Fu, Power-up SRAM state as an identifying fingerprint and source of true random numbers. IEEE Trans. Comput. 58(9), 1198–1210 (Sept 2009)MathSciNetCrossRef D.E. Holcomb, W.P. Burleson, K. Fu, Power-up SRAM state as an identifying fingerprint and source of true random numbers. IEEE Trans. Comput. 58(9), 1198–1210 (Sept 2009)MathSciNetCrossRef
11.
go back to reference G.E. Suh S. Devadas, in Physical Unclonable Functions for Device Authentication and Secret Key Generation. DAC ’07: Proceedings of the 44th Annual Design Automation Conference (ACM, New York, NY, 2007), pp. 9–14 G.E. Suh S. Devadas, in Physical Unclonable Functions for Device Authentication and Secret Key Generation. DAC ’07: Proceedings of the 44th Annual Design Automation Conference (ACM, New York, NY, 2007), pp. 9–14
12.
go back to reference E. Ozturk, G. Hammouri, B. Sunar, in Physical Unclonable Function with Tristate Buffers. IEEE International Symposium on Circuits and Systems, 2008 (ISCAS 2008), Seattle, WA, 18–21 May 2008 (IEEE, Piscataway, NJ, 2008), pp. 3194–3197 E. Ozturk, G. Hammouri, B. Sunar, in Physical Unclonable Function with Tristate Buffers. IEEE International Symposium on Circuits and Systems, 2008 (ISCAS 2008), Seattle, WA, 18–21 May 2008 (IEEE, Piscataway, NJ, 2008), pp. 3194–3197
13.
go back to reference D. Lim, J. Lee, B. Gassend, G. Suh, M. van Dijk, S. Devadas, Extracting secret keys from integrated circuits. IEEE Trans. VLSI Syst. 13(10), 1200–1205 (Oct 2005)CrossRef D. Lim, J. Lee, B. Gassend, G. Suh, M. van Dijk, S. Devadas, Extracting secret keys from integrated circuits. IEEE Trans. VLSI Syst. 13(10), 1200–1205 (Oct 2005)CrossRef
14.
go back to reference R. Helinski, J. Plusquellic, Measuring power distribution system resistance variations. IEEE Trans. Semicond. Manuf. 21(3), 444–453 (Aug 2008)CrossRef R. Helinski, J. Plusquellic, Measuring power distribution system resistance variations. IEEE Trans. Semicond. Manuf. 21(3), 444–453 (Aug 2008)CrossRef
15.
go back to reference R. Helsinki, D. Acharyya, J. Plusquellic, in A Physical Unclonable Function Defined Using Power Distribution System Equivalent Resistance Variations. Proceedings of the 46th Design Automation Conference (DAC ’09), San Francisco, CA, USA (ACM, New York, NY, 2009), pp. 676–681 R. Helsinki, D. Acharyya, J. Plusquellic, in A Physical Unclonable Function Defined Using Power Distribution System Equivalent Resistance Variations. Proceedings of the 46th Design Automation Conference (DAC ’09), San Francisco, CA, USA (ACM, New York, NY, 2009), pp. 676–681
16.
go back to reference M. Majzoobi, F. Koushanfar, M. Potkonjak, Techniques for design and implementation of secure reconfigurable PUFs. ACM Trans. Reconfigurable Technol. Syst. 2(1), 1–33 (2009)CrossRef M. Majzoobi, F. Koushanfar, M. Potkonjak, Techniques for design and implementation of secure reconfigurable PUFs. ACM Trans. Reconfigurable Technol. Syst. 2(1), 1–33 (2009)CrossRef
17.
go back to reference R. Pappu, B. Recht, J. Taylor, N. Gershenfeld, Physical one-way functions. Science 297(5589), 2026–2030 (2002)CrossRef R. Pappu, B. Recht, J. Taylor, N. Gershenfeld, Physical one-way functions. Science 297(5589), 2026–2030 (2002)CrossRef
18.
go back to reference J.D.R. Buchanan, R.P. Cowburn, A.V. Jausovec, D. Petit, P. Seem, G. Xiong, D. Atkinson, K. Fenton, D.A. Allwood, M.T. Bryan, Forgery: ‘fingerprinting’ documents and packaging. Nature 436(7050), 475–475 (2005)CrossRef J.D.R. Buchanan, R.P. Cowburn, A.V. Jausovec, D. Petit, P. Seem, G. Xiong, D. Atkinson, K. Fenton, D.A. Allwood, M.T. Bryan, Forgery: ‘fingerprinting’ documents and packaging. Nature 436(7050), 475–475 (2005)CrossRef
19.
go back to reference G. Hammouri, A. Dana, B. Sunar, in CDs Have Fingerprints Too. Cryptographic Hardware and Embedded Systems - CHES 2009 (Springer, Heidelberg, 2009), pp. 348–362 G. Hammouri, A. Dana, B. Sunar, in CDs Have Fingerprints Too. Cryptographic Hardware and Embedded Systems - CHES 2009 (Springer, Heidelberg, 2009), pp. 348–362
20.
go back to reference G. DeJean, D. Krovski, in RF-DNA: Radio-Frequency Certificates of Authenticity. Cryptographic Hardware and Embedded Systems - CHES 2007 (Springer, Heidelberg, 2007), pp. 346–363 G. DeJean, D. Krovski, in RF-DNA: Radio-Frequency Certificates of Authenticity. Cryptographic Hardware and Embedded Systems - CHES 2007 (Springer, Heidelberg, 2007), pp. 346–363
21.
go back to reference F. Kousanfar, A. Candore, O. Kocabas, in Robust Stable Radiometric Fingerprinting for Wireless Devices. IEEE International Workshop on Hardware Oriented Security and Trust 2009 (HOST 2009), San Francisco, CA, USA, July 2009, pp. 43–49 F. Kousanfar, A. Candore, O. Kocabas, in Robust Stable Radiometric Fingerprinting for Wireless Devices. IEEE International Workshop on Hardware Oriented Security and Trust 2009 (HOST 2009), San Francisco, CA, USA, July 2009, pp. 43–49
22.
go back to reference S. Jana, S.P. Nandha, M. Clark, S.K. Kasera, N. Patwari, S. Krishnamurty, in On the Effectiveness of Secret Key Extraction Using Wireless Signal Strength in Real Environments.. Proceedings of the ACM Sigmobile International Conference on Mobile Computing and Networking (MOBICOM), Beijing, 20–25 September 2009 S. Jana, S.P. Nandha, M. Clark, S.K. Kasera, N. Patwari, S. Krishnamurty, in On the Effectiveness of Secret Key Extraction Using Wireless Signal Strength in Real Environments.. Proceedings of the ACM Sigmobile International Conference on Mobile Computing and Networking (MOBICOM), Beijing, 20–25 September 2009
23.
go back to reference B. Skoric, S. Maubach, T. Kevenaar, P. Tuyls, Information-theoretic analysis of capacitive physical unclonable functions. J. Appl. Phys. 100(2), 024902 (2006).CrossRef B. Skoric, S. Maubach, T. Kevenaar, P. Tuyls, Information-theoretic analysis of capacitive physical unclonable functions. J. Appl. Phys. 100(2), 024902 (2006).CrossRef
24.
go back to reference B. Skoric, G.-J. Schrijen, W. Ophey, R. Wolters, N. Verhaegh, J. van Geloven, Experimental hardware for coating PUFs and optical PUFs. in Security with Noise Data, ed. by P. Tuyls, B. Skoric, T. Kevenaar (Springer, New York, NY, 2008) B. Skoric, G.-J. Schrijen, W. Ophey, R. Wolters, N. Verhaegh, J. van Geloven, Experimental hardware for coating PUFs and optical PUFs. in Security with Noise Data, ed. by P. Tuyls, B. Skoric, T. Kevenaar (Springer, New York, NY, 2008)
25.
go back to reference P. Gupta, A.B. Kahng, in Manufacturing-Aware Physical Design. ICCAD ’03: Proceedings of the 2003 IEEE/ACM International Conference on Computer-Aided Design (IEEE Computer Society, Washington, DC, 2003), p. 681 P. Gupta, A.B. Kahng, in Manufacturing-Aware Physical Design. ICCAD ’03: Proceedings of the 2003 IEEE/ACM International Conference on Computer-Aided Design (IEEE Computer Society, Washington, DC, 2003), p. 681
26.
go back to reference N. Shah, R. Samanta, M. Zhang, J. Hu, D. Walker, in Built-In Proactive Tuning System for Circuit Aging Resilience. IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems, Cambridge, MA, USA, 1–3 October 2008, pp. 96–104 N. Shah, R. Samanta, M. Zhang, J. Hu, D. Walker, in Built-In Proactive Tuning System for Circuit Aging Resilience. IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems, Cambridge, MA, USA, 1–3 October 2008, pp. 96–104
27.
go back to reference P. Lee, M. Kuo, P. Ko, C. Hu, BERT - Circuit Aging Simulator (CAS). Technical Report UCB/ERL M90/2, EECS Department, University of California, Berkeley, 1990 P. Lee, M. Kuo, P. Ko, C. Hu, BERT - Circuit Aging Simulator (CAS). Technical Report UCB/ERL M90/2, EECS Department, University of California, Berkeley, 1990
28.
go back to reference W. Wang, V. Reddy, B. Yang, V. Balakrishnan, S. Krishnan, Y. Cao, in Statistical Prediction of Circuit Aging Under Process Variations. Custom Integrated Circuits Conference, 2008. CICC 2008. (IEEE, Piscataway, NJ, Sept 2008), pp. 13–16 W. Wang, V. Reddy, B. Yang, V. Balakrishnan, S. Krishnan, Y. Cao, in Statistical Prediction of Circuit Aging Under Process Variations. Custom Integrated Circuits Conference, 2008. CICC 2008. (IEEE, Piscataway, NJ, Sept 2008), pp. 13–16
30.
go back to reference A. Wang, A. Chandrakasan, A 180-mv subthreshold FFT processor using a minimum energy design methodology. IEEE J. Solid-State Circuits 40(1), 310–319 (Jan 2005)CrossRef A. Wang, A. Chandrakasan, A 180-mv subthreshold FFT processor using a minimum energy design methodology. IEEE J. Solid-State Circuits 40(1), 310–319 (Jan 2005)CrossRef
31.
go back to reference V. Sze, R. Blazquez, M. Bhardwaj, A. Chandrakasan, in An Energy Efficient Sub-Threshold Baseband Processor Architecture for Pulsed Ultra-Wideband Communications. Acoustics, Speech and Signal Processing, 2006. ICASSP 2006 Proceedings. 2006 IEEE International Conference on, vol. 3, Toulouse, 2006 V. Sze, R. Blazquez, M. Bhardwaj, A. Chandrakasan, in An Energy Efficient Sub-Threshold Baseband Processor Architecture for Pulsed Ultra-Wideband Communications. Acoustics, Speech and Signal Processing, 2006. ICASSP 2006 Proceedings. 2006 IEEE International Conference on, vol. 3, Toulouse, 2006
32.
go back to reference C.H.I. Kim, H. Soeleman, K. Roy, Ultra-low-power DLMS adaptive filter for hearing aid applications. IEEE Trans.VLSI Syst. 11(6), 1058–1067 (Dec 2003)CrossRef C.H.I. Kim, H. Soeleman, K. Roy, Ultra-low-power DLMS adaptive filter for hearing aid applications. IEEE Trans.VLSI Syst. 11(6), 1058–1067 (Dec 2003)CrossRef
33.
go back to reference J. Tschanz, J. Kao, S. Narendra, R. Nair, D. Antoniadis, A. Chandrakasan, V. De, in Adaptive Body Bias for Reducing Impacts of Die-to-Die and Within-Die Parameter Variations on Microprocessor Frequency and Leakage. Solid-State Circuits Conference, 2002. Digest of Technical Papers. ISSCC. 2002 IEEE International, vol. 1, San Francisco, CA, USA, 2002, pp. 422–478 J. Tschanz, J. Kao, S. Narendra, R. Nair, D. Antoniadis, A. Chandrakasan, V. De, in Adaptive Body Bias for Reducing Impacts of Die-to-Die and Within-Die Parameter Variations on Microprocessor Frequency and Leakage. Solid-State Circuits Conference, 2002. Digest of Technical Papers. ISSCC. 2002 IEEE International, vol. 1, San Francisco, CA, USA, 2002, pp. 422–478
34.
go back to reference C.E. Yin, G. Qu, in Temperature-Aware Cooperative Ring Oscillator PUF. IEEE International Workshop on Hardware-Oriented Security and Trust, 2009. HOST ’09, San Francisco, CA, USA, July 2009, pp. 36–42 C.E. Yin, G. Qu, in Temperature-Aware Cooperative Ring Oscillator PUF. IEEE International Workshop on Hardware-Oriented Security and Trust, 2009. HOST ’09, San Francisco, CA, USA, July 2009, pp. 36–42
35.
go back to reference A. Maiti, P. Schaumont, in Improving the Quality of a Physical Unclonable Function Using Configurable Ring Oscillators. 19th International Conference on Field Programmable Logic and Applications (FPL 2009), 2009 A. Maiti, P. Schaumont, in Improving the Quality of a Physical Unclonable Function Using Configurable Ring Oscillators. 19th International Conference on Field Programmable Logic and Applications (FPL 2009), 2009
36.
go back to reference H. Yu, P.H.W. Leong, M. Glesner, H. Hinkelmann, L. Moller, P. Zipf, in Towards a Unique FPGA-Based Identification Circuit Using Process Variations. Proceedings of the 19th International Conference on Field Programmable Logic and Applications 2009 (FPL09), September 2009 H. Yu, P.H.W. Leong, M. Glesner, H. Hinkelmann, L. Moller, P. Zipf, in Towards a Unique FPGA-Based Identification Circuit Using Process Variations. Proceedings of the 19th International Conference on Field Programmable Logic and Applications 2009 (FPL09), September 2009
37.
go back to reference B. Efron, R.J. Tibshirani, An Introduction to the Bootstrap (Chapman & Hall, London, England, 1993)MATH B. Efron, R.J. Tibshirani, An Introduction to the Bootstrap (Chapman & Hall, London, England, 1993)MATH
38.
go back to reference I.M. Chakravarti, R.G. Laha, J. Roy. Handbook of Methods of Applied Statistics, vol. I (Wiley, New York, NY, 1967), pp. 392–394MATH I.M. Chakravarti, R.G. Laha, J. Roy. Handbook of Methods of Applied Statistics, vol. I (Wiley, New York, NY, 1967), pp. 392–394MATH
Metadata
Title
From Statistics to Circuits: Foundations for Future Physical Unclonable Functions
Authors
Inyoung Kim
Abhranil Maiti
Leyla Nazhandali
Patrick Schaumont
Vignesh Vivekraja
Huaiye Zhang
Copyright Year
2010
Publisher
Springer Berlin Heidelberg
DOI
https://doi.org/10.1007/978-3-642-14452-3_3