Skip to main content
Top

2024 | OriginalPaper | Chapter

3. Hardware and Environment Modeling

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

This chapter explores the role of RISC-V in the Internet of Things (IoT) era, emphasizing its popularity due to its open and free instruction set architecture. The chapter introduces virtual prototypes (VPs) as a crucial tool, addressing the gap between early system design and fully finished systems. It presents a RISC-V based VP that supports multi-core platforms, operating systems, and offers faster simulation compared to RTL. The discussion extends to an Environment Model GUI for simulation of off-chip devices, and a debugging visualization tool called RISCVIEW, and a method to bridge the TLM/RTL gap in SoC design using Hardware-in-the-Loop (HWITL) simulations with FPGAs. The proposed VPIL strategy enables early Design Space Exploration (DSE) and validation, enhancing the efficiency of SoC development. The chapter concludes with suggestions for further extensions to the approach for specialized applications.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Footnotes
1
In particular, the freely available RISC-V port of GDB, which knows about the available RISC-V register set, the CSRs, and can provide a disassembly of the RISC-V instruction set.
 
2
Early tests have shown that instantiating one Lua state per device results a prohibitively high memory usage already in small numbers of devices and also significantly reduces the execution speed.
 
3
This is a technical limitation of the used LuaBridge3, in where C functions may only be global.
 
4
To optimize the communication protocol, some SPI devices use a separate input pin to incoming bytes as data or commands.
 
5
Note that the RISC-V VP has the feature to lock the CLINT’s internal timer to either simulation or wall clock time.
 
6
Refresh rate in all tests varied between 10 and 20 Hz, limited to 20 Hz.
 
Literature
1.
go back to reference B. Menhorn, F. Slomka, Confirming the design gap, in Advances in Computational Science, Engineering and Information Technology, ed. by D. Nagamalai, A. Kumar, A. Annamalai (Springer International Publishing, Heidelberg, 2013), pp. 281–292. ISBN: 978-3-319-00951-3CrossRef B. Menhorn, F. Slomka, Confirming the design gap, in Advances in Computational Science, Engineering and Information Technology, ed. by D. Nagamalai, A. Kumar, A. Annamalai (Springer International Publishing, Heidelberg, 2013), pp. 281–292. ISBN: 978-3-319-00951-3CrossRef
39.
go back to reference P. Pieper, V. Herdt, R. Drechsler, Advanced environment modeling and interaction in an open source RISC-V virtual prototype, in Proceedings of the Great Lakes Symposium on VLSI 2022, ser. GLSVLSI ’22, Irvine (Association for Computing Machinery, New York, 2022), pp. 193–197. ISBN: 9781450393225. https://doi.org/10.1145/3526241.3530374 P. Pieper, V. Herdt, R. Drechsler, Advanced environment modeling and interaction in an open source RISC-V virtual prototype, in Proceedings of the Great Lakes Symposium on VLSI 2022, ser. GLSVLSI ’22, Irvine (Association for Computing Machinery, New York, 2022), pp. 193–197. ISBN: 9781450393225. https://​doi.​org/​10.​1145/​3526241.​3530374
44.
go back to reference P. Pieper, R. Wimmer, G. Angst, R. Drechsler, Minimally invasive HW/SW co-debug live visualization on architecture level, in Proceedings of the 2021 on Great Lakes Symposium on VLSI, ser. GLSVLSI ’21, Virtual Event (Association for Computing Machinery, New York, 2021), pp. 321–326. ISBN: 9781450383936. https://doi.org/10.1145/3453688.3461524 P. Pieper, R. Wimmer, G. Angst, R. Drechsler, Minimally invasive HW/SW co-debug live visualization on architecture level, in Proceedings of the 2021 on Great Lakes Symposium on VLSI, ser. GLSVLSI ’21, Virtual Event (Association for Computing Machinery, New York, 2021), pp. 321–326. ISBN: 9781450383936. https://​doi.​org/​10.​1145/​3453688.​3461524
52.
go back to reference S. Ahmadi-Pour, P. Pieper, R. Drechsler, Virtual-peripheral-in-the-loop: a hardware-in-the-loop strategy to bridge the VP/RTL design-gap (2023). arXiv:2311.00442 [cs.AR] S. Ahmadi-Pour, P. Pieper, R. Drechsler, Virtual-peripheral-in-the-loop: a hardware-in-the-loop strategy to bridge the VP/RTL design-gap (2023). arXiv:2311.00442 [cs.AR]
66.
go back to reference B. Bailey, G. Martin, A. Piziali, ESL Design and Verification: A Prescription for Electronic System Level Methodology (Morgan Kaufmann/Elsevier, Burlington/Amsterdam, 2007) B. Bailey, G. Martin, A. Piziali, ESL Design and Verification: A Prescription for Electronic System Level Methodology (Morgan Kaufmann/Elsevier, Burlington/Amsterdam, 2007)
72.
73.
go back to reference V. Herdt, D. Große, H.M. Le, R. Drechsler, Extensible and configurable RISC-V based virtual prototype, in Forum on Specification and Design Languages (2018), pp. 5–16 V. Herdt, D. Große, H.M. Le, R. Drechsler, Extensible and configurable RISC-V based virtual prototype, in Forum on Specification and Design Languages (2018), pp. 5–16
75.
go back to reference D. Große, R. Drechsler, Quality-Driven SystemC Design (Springer, Berlin, 2010)CrossRef D. Große, R. Drechsler, Quality-Driven SystemC Design (Springer, Berlin, 2010)CrossRef
76.
go back to reference M. Streubühr, R. Rosales, R. Hasholzner, C. Haubelt, J. Teich, ESL power and performance estimation for heterogeneous mpsocs using SystemC, in FDL (2011), pp. 1–8 M. Streubühr, R. Rosales, R. Hasholzner, C. Haubelt, J. Teich, ESL power and performance estimation for heterogeneous mpsocs using SystemC, in FDL (2011), pp. 1–8
77.
go back to reference K. Grüttner et al., CONTREX: design of embedded mixed-criticality CONTRol systems under consideration of extra-functional properties. Microprocess. Microsyst. 51, 39–55 (2017)CrossRef K. Grüttner et al., CONTREX: design of embedded mixed-criticality CONTRol systems under consideration of extra-functional properties. Microprocess. Microsyst. 51, 39–55 (2017)CrossRef
79.
go back to reference V. Herdt, H.M. Le, D. Große, R. Drechsler, On the application of formal fault localization to automated RTL-to-TLM fault correspondence analysis for fast and accurate VP-based error effect simulation – a case study, in FDL (2016), pp. 1–8 V. Herdt, H.M. Le, D. Große, R. Drechsler, On the application of formal fault localization to automated RTL-to-TLM fault correspondence analysis for fast and accurate VP-based error effect simulation – a case study, in FDL (2016), pp. 1–8
80.
go back to reference V. Herdt, H.M. Le, D. Große, R. Drechsler, Towards early validation of firmware-based power management using virtual prototypes: a constrained random approach, in FDL (2017), pp. 1–8 V. Herdt, H.M. Le, D. Große, R. Drechsler, Towards early validation of firmware-based power management using virtual prototypes: a constrained random approach, in FDL (2017), pp. 1–8
87.
go back to reference T. Schuster, R. Meyer, R. Buchty, L. Fossati, M. Berekovic, Socrocket – a virtual platform for the European Space Agency’s SoC development, in ReCoSoC (2014), pp. 1–7 T. Schuster, R. Meyer, R. Buchty, L. Fossati, M. Berekovic, Socrocket – a virtual platform for the European Space Agency’s SoC development, in ReCoSoC (2014), pp. 1–7
96.
go back to reference V. Herdt, D. Große, H.M. Le, R. Drechsler, Verifying instruction set simulators using coverage-guided fuzzing, in Design, Automation and Test in Europe (2019) V. Herdt, D. Große, H.M. Le, R. Drechsler, Verifying instruction set simulators using coverage-guided fuzzing, in Design, Automation and Test in Europe (2019)
98.
go back to reference A. Cimatti, I. Narasamdya, M. Roveri, Software model checking SystemC. TCAD 32(5), 774–787 (2013) A. Cimatti, I. Narasamdya, M. Roveri, Software model checking SystemC. TCAD 32(5), 774–787 (2013)
99.
go back to reference M.Y. Vardi, Formal techniques for SystemC verification, in DAC (2007), pp. 188–192 M.Y. Vardi, Formal techniques for SystemC verification, in DAC (2007), pp. 188–192
100.
go back to reference M.F. Oliveira et al., The system verification methodology for advanced tlm verification, in Proceedings of the Eighth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, ser. CODES+ISSS ’12, Tampere (Association for Computing Machinery, New York, 2012), pp. 313–322. ISBN: 9781450314268 [Online]. Available: https://doi.org/10.1145/2380445.2380497 M.F. Oliveira et al., The system verification methodology for advanced tlm verification, in Proceedings of the Eighth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, ser. CODES+ISSS ’12, Tampere (Association for Computing Machinery, New York, 2012), pp. 313–322. ISBN: 9781450314268 [Online]. Available: https://​doi.​org/​10.​1145/​2380445.​2380497
101.
go back to reference J. Yuan, C. Pixley, A. Aziz, Constraint-based Verification (Springer, Berlin, 2006) J. Yuan, C. Pixley, A. Aziz, Constraint-based Verification (Springer, Berlin, 2006)
103.
go back to reference X. Guo, R.D. Mullins, Fast TLB simulation for RISC-V systems. ArXiv vol. abs/1905.06825, 2019 X. Guo, R.D. Mullins, Fast TLB simulation for RISC-V systems. ArXiv vol. abs/1905.06825, 2019
106.
go back to reference D. Mueller-Gritschneder, M. Dittrich, M. Greim, K. Devarajegowda, W. Ecker, U. Schlichtmann, The extendable translating instruction set simulator (ETISS) interlinked with an MDA framework for fast RISC prototyping, in 2017 International Symposium on Rapid System Prototyping (RSP) (2017), pp. 79–84 D. Mueller-Gritschneder, M. Dittrich, M. Greim, K. Devarajegowda, W. Ecker, U. Schlichtmann, The extendable translating instruction set simulator (ETISS) interlinked with an MDA framework for fast RISC prototyping, in 2017 International Symposium on Rapid System Prototyping (RSP) (2017), pp. 79–84
114.
go back to reference M. Holzer, B. Knerr, P. Belanovic, M. Rupp, G. Sauzon, Faster complex SoC design by virtual prototyping, in Int’l Conference on Cybernetics and Information Technologies, Systems and Applications (CITSA) (2004), pp. 305–309 M. Holzer, B. Knerr, P. Belanovic, M. Rupp, G. Sauzon, Faster complex SoC design by virtual prototyping, in Int’l Conference on Cybernetics and Information Technologies, Systems and Applications (CITSA) (2004), pp. 305–309
116.
go back to reference R. Willenberg, P. Chow, Simulation-based HW/SW co-debugging for field-programmable systems-on-chip, in Int’l Conference on Field Programmable Logic and Applications (FPL) (IEEE, Piscataway, 2013), pp. 1–8 R. Willenberg, P. Chow, Simulation-based HW/SW co-debugging for field-programmable systems-on-chip, in Int’l Conference on Field Programmable Logic and Applications (FPL) (IEEE, Piscataway, 2013), pp. 1–8
118.
go back to reference F. Rogin, C. Genz, R. Drechsler, S. Rülke, An integrated SystemC debugging environment, in Embedded Systems Specification and Design Languages, ser. Lecture Notes in Electrical Engineering, vol. 10 (Springer, Berlin, 2008), pp. 59–71 F. Rogin, C. Genz, R. Drechsler, S. Rülke, An integrated SystemC debugging environment, in Embedded Systems Specification and Design Languages, ser. Lecture Notes in Electrical Engineering, vol. 10 (Springer, Berlin, 2008), pp. 59–71
119.
go back to reference D. Große, R. Drechsler, L. Linhard, G. Angst, Efficient automatic visualization of SystemC designs, in FDL, ECSI (2003), pp. 646–658 D. Große, R. Drechsler, L. Linhard, G. Angst, Efficient automatic visualization of SystemC designs, in FDL, ECSI (2003), pp. 646–658
121.
go back to reference A. Adamov, K. Mostovaya, I. Syzonenko, A. Melnik, Electronic system level models for functional verification of system-on-chip, in 2007 9th International Conference – The Experience of Designing and Applications of CAD Systems in Microelectronics (IEEE, Piscataway, 2007). https://doi.org/10.1109/cadsm.2007.4297576 A. Adamov, K. Mostovaya, I. Syzonenko, A. Melnik, Electronic system level models for functional verification of system-on-chip, in 2007 9th International Conference – The Experience of Designing and Applications of CAD Systems in Microelectronics (IEEE, Piscataway, 2007). https://​doi.​org/​10.​1109/​cadsm.​2007.​4297576
130.
go back to reference Z. Jiang, R. Leonard, R. Dougal, H. Figueroa, A. Monti, Processor-in-the-loop simulation, real-time hardware-in-the-loop testing, and hardware validation of a digitally-controlled, fuel-cell powered battery-charging station, in 2004 IEEE 35th Annual Power Electronics Specialists Conference (IEEE Cat. No. 04CH37551) (IEEE, Piscataway, 2004). https://doi.org/10.1109/pesc.2004.1355471 Z. Jiang, R. Leonard, R. Dougal, H. Figueroa, A. Monti, Processor-in-the-loop simulation, real-time hardware-in-the-loop testing, and hardware validation of a digitally-controlled, fuel-cell powered battery-charging station, in 2004 IEEE 35th Annual Power Electronics Specialists Conference (IEEE Cat. No. 04CH37551) (IEEE, Piscataway, 2004). https://​doi.​org/​10.​1109/​pesc.​2004.​1355471
Metadata
Title
Hardware and Environment Modeling
Authors
Pascal Pieper
Rolf Drechsler
Copyright Year
2024
DOI
https://doi.org/10.1007/978-3-031-51692-4_3