Skip to main content
Top
Published in: Journal of Materials Science: Materials in Electronics 10/2008

01-10-2008 | Review

High-κ dielectrics and advanced channel concepts for Si MOSFET

Authors: Mo Wu, Y. I. Alivov, Hadis Morkoç

Published in: Journal of Materials Science: Materials in Electronics | Issue 10/2008

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

With scaling of the gate length downward to increase speed and density, the gate dielectric thickness must also be reduced. However, this practice which has been in effect for many decades has reached a fundamental limitation because gate dielectric thicknesses in the range of tunneling have been reached with the SiO2 dielectric layer for MOSFETs. Consequently, the gate dielectrics with higher dielectric constants, dubbed the “high-κ”, which allow scaling with much larger thicknesses have become active research and development topics. In this review technological issues associated with the likely high-κ materials which are under consideration as well as challenges, and solution to them, they bring about in the fabrication of Si MOSFET are discussed. Moreover, in order to squeeze more speed out of CMOS, channels for both n- and p-type MOSFET enhanced with appropriate strain and the concepts behind them are discussed succinctly. Finally, the longer term approach of replacing Si with other channel materials such as GaAs (InGaAs) for n-channel and Ge for p-channel along with technological developments of their preparation on Si and likely gate oxide developments are treated in some detail.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference G.E. Moore, Daedelus 125, 55 (1964); G.E. Moore, Cramming more components onto integrated circuits. Electronics 38, 114–116 (1965) G.E. Moore, Daedelus 125, 55 (1964); G.E. Moore, Cramming more components onto integrated circuits. Electronics 38, 114–116 (1965)
2.
go back to reference P.M. Solomon, Device innovation and material challenges at the limits of CMOS technology. Annu. Rev. Mater. Sci. 30, 645–680 (2000) P.M. Solomon, Device innovation and material challenges at the limits of CMOS technology. Annu. Rev. Mater. Sci. 30, 645–680 (2000)
5.
go back to reference R.M. Wallace, G.D. Wilk, High-κ dielectric materials for microelectronics. Crit. Rev. Solid State Mater. Sci. 28, 231 (2003). doi:10.1080/714037708 R.M. Wallace, G.D. Wilk, High-κ dielectric materials for microelectronics. Crit. Rev. Solid State Mater. Sci. 28, 231 (2003). doi:10.​1080/​714037708
12.
go back to reference J.S. Suehle, E.M. Vogel, M.D. Edelstein, C.A. Richter, N.V. Nguyen, I. Levin, D.L.. Kaiser, H. Wu, J.B. Bemstein, Challenges of high-κ gate dielectrics for future MOS devices, in Sixth International Symposium on Plasma Process-Induced Damage, Monterey, CA, 13–15 May 2001, p. 90 J.S. Suehle, E.M. Vogel, M.D. Edelstein, C.A. Richter, N.V. Nguyen, I. Levin, D.L.. Kaiser, H. Wu, J.B. Bemstein, Challenges of high-κ gate dielectrics for future MOS devices, in Sixth International Symposium on Plasma Process-Induced Damage, Monterey, CA, 13–15 May 2001, p. 90
15.
go back to reference J. Kwo, M. Hong, A.R. Kortan, K.T. Queeney, Y.J. Chabal, J.P. Mannaerts, T. Boone, J.J. Krajewski, A.M. Sergent, J.M. Rosamilia, Appl. Phys. Lett. 77, 130 (2000). doi:10.1063/1.126899 J. Kwo, M. Hong, A.R. Kortan, K.T. Queeney, Y.J. Chabal, J.P. Mannaerts, T. Boone, J.J. Krajewski, A.M. Sergent, J.M. Rosamilia, Appl. Phys. Lett. 77, 130 (2000). doi:10.​1063/​1.​126899
17.
18.
go back to reference S.A. Campbell, D.C. Gilmer, X.C. Wang, M.T. Hsieh, H.S. Kim, W.L. Gladfelter, J. Yan, IEEE Trans. Electron Device Lett. 44, 104 (1997). doi:10.1109/16.554800 S.A. Campbell, D.C. Gilmer, X.C. Wang, M.T. Hsieh, H.S. Kim, W.L. Gladfelter, J. Yan, IEEE Trans. Electron Device Lett. 44, 104 (1997). doi:10.​1109/​16.​554800
20.
26.
29.
go back to reference E. Rauwel, C. Dubourdieu, B. Holländer, N. Rochat, F. Ducroquet, M.D. Rossell, G. Van Tendeloo, B. Pelissier, Appl. Phys. Lett. 89, 012902 (2006). doi:10.1063/1.2216102 E. Rauwel, C. Dubourdieu, B. Holländer, N. Rochat, F. Ducroquet, M.D. Rossell, G. Van Tendeloo, B. Pelissier, Appl. Phys. Lett. 89, 012902 (2006). doi:10.​1063/​1.​2216102
31.
34.
go back to reference L. Niinistö, J. Päiväsaari, J. Niinistö, M. Putkonen, M. Nieminen, Phys. Stat. Sol. (A), 201, 1443 (2004) L. Niinistö, J. Päiväsaari, J. Niinistö, M. Putkonen, M. Nieminen, Phys. Stat. Sol. (A), 201, 1443 (2004)
35.
go back to reference T.S. Suntola, A.J. Pakkala, S.G. Lindfors, Method for performing growth of compound thin films. US Patent 4,413,022 (1983) T.S. Suntola, A.J. Pakkala, S.G. Lindfors, Method for performing growth of compound thin films. US Patent 4,413,022 (1983)
40.
go back to reference K. Kukli, M. Ritala, M. Leskela, T. Sajavaara, J. Keinonen, A.C. Jones, J.L. Roberts, Atomic layer deposition of hafnium dioxide films from 1-methoxy-2-methyl-2-propanolate complex of hafnium. Chem. Mater. 15, 1722 (2003). doi:10.1021/cm021328p K. Kukli, M. Ritala, M. Leskela, T. Sajavaara, J. Keinonen, A.C. Jones, J.L. Roberts, Atomic layer deposition of hafnium dioxide films from 1-methoxy-2-methyl-2-propanolate complex of hafnium. Chem. Mater. 15, 1722 (2003). doi:10.​1021/​cm021328p
41.
go back to reference J.-H. Lee, J.P. Kim, J.-H. Lee, Y.-S. Kim, H.-S. Jung, N.-I. Lee, H.-K. Kang, K.-P. Suh, M.-M. Jeong, K.-T. Hyun, H.-S. Baik, Y.S. Chung, X. Liu, S. Ramanathan, T. Seidel, J. Winkler, A. Londergan, H.Y. Kim, J.M. Ha, N.K. Lee, Mass production worthy HfO2-Al2O3 laminate capacitor technology using Hf liquid precursor for sub-100 nm DRAMs, in IEDM Technical Digest, San Francisco, CA, 13–15 December 2004, pp. 221–224 J.-H. Lee, J.P. Kim, J.-H. Lee, Y.-S. Kim, H.-S. Jung, N.-I. Lee, H.-K. Kang, K.-P. Suh, M.-M. Jeong, K.-T. Hyun, H.-S. Baik, Y.S. Chung, X. Liu, S. Ramanathan, T. Seidel, J. Winkler, A. Londergan, H.Y. Kim, J.M. Ha, N.K. Lee, Mass production worthy HfO2-Al2O3 laminate capacitor technology using Hf liquid precursor for sub-100 nm DRAMs, in IEDM Technical Digest, San Francisco, CA, 13–15 December 2004, pp. 221–224
42.
go back to reference K. Kukli, M. Ritala, T. Pilvi, T. Sajavaara, M. Leskela, A.C. Jones, H.C. Aspinall, D.C. Gilmer, P.J. Tobin, Chem. Mater. 16, 5162 (2004). doi:10.1021/cm0401793 K. Kukli, M. Ritala, T. Pilvi, T. Sajavaara, M. Leskela, A.C. Jones, H.C. Aspinall, D.C. Gilmer, P.J. Tobin, Chem. Mater. 16, 5162 (2004). doi:10.​1021/​cm0401793
49.
go back to reference M. Ratzke, M. Kappa, D. Wolfframm, S. Kouteva-Arguirova, J. Reif, PLD of high-κ dielectric films on silicon, in 5th International Symposium on Laser Precision Microfabrication, vol. 5662, Nara Japan, 11–14 May 2004, pp. 406–411 M. Ratzke, M. Kappa, D. Wolfframm, S. Kouteva-Arguirova, J. Reif, PLD of high-κ dielectric films on silicon, in 5th International Symposium on Laser Precision Microfabrication, vol. 5662, Nara Japan, 11–14 May 2004, pp. 406–411
54.
go back to reference S. Van Elshocht, M. Baklanov, B. Brijs, R. Carter, M. Caymax, L. Carbonell, M. Claes, T. Conard, V. Cosnier, L. Date, S. De Gendt, J. Kluth, D. Pique, O. Richard, D. Vanhaeren, G. Vereecke, T. Witters, C. Zhao, M. Heynsa, J. Electrochem. Soc. 151, F228 (2004). doi:10.1149/1.1784822 S. Van Elshocht, M. Baklanov, B. Brijs, R. Carter, M. Caymax, L. Carbonell, M. Claes, T. Conard, V. Cosnier, L. Date, S. De Gendt, J. Kluth, D. Pique, O. Richard, D. Vanhaeren, G. Vereecke, T. Witters, C. Zhao, M. Heynsa, J. Electrochem. Soc. 151, F228 (2004). doi:10.​1149/​1.​1784822
55.
57.
go back to reference A. Bastianini, G.A. Battiston, R. Gerbasi, M. Porchia, S. Daolio, J. Phys. IV. C5, 525 (1995) A. Bastianini, G.A. Battiston, R. Gerbasi, M. Porchia, S. Daolio, J. Phys. IV. C5, 525 (1995)
58.
go back to reference B.C. Hendrix, A.S. Borovik, C. Xu, J.F. Roeder, T.H. Baum, M.J. Bevan, M.R. Visokay, J.J. Chambers, A.L.P. Rotondaro, H. Bu, L. Colombo, Appl. Phys. Lett. 80, 2362 (2002). doi:10.1063/1.1465532 B.C. Hendrix, A.S. Borovik, C. Xu, J.F. Roeder, T.H. Baum, M.J. Bevan, M.R. Visokay, J.J. Chambers, A.L.P. Rotondaro, H. Bu, L. Colombo, Appl. Phys. Lett. 80, 2362 (2002). doi:10.​1063/​1.​1465532
59.
go back to reference S. Chakraborty, M.K. Bera, P.K. Bose, C.K. Maiti, Semicond. Sci. Technol. 21, 335 (2006) S. Chakraborty, M.K. Bera, P.K. Bose, C.K. Maiti, Semicond. Sci. Technol. 21, 335 (2006)
60.
go back to reference Y.K. Lu, W. Zhu, Y. Zhang, H. Lu, R. Gopalkrishnan, HfO2 Nano-thin Films Grown by Laser MBE for Gate Dielectric Application, in 2006 IEEE Conference on Emerging Technologies – Nanoelectronics, 10–13 January 2006, pp. 273–277 Y.K. Lu, W. Zhu, Y. Zhang, H. Lu, R. Gopalkrishnan, HfO2 Nano-thin Films Grown by Laser MBE for Gate Dielectric Application, in 2006 IEEE Conference on Emerging Technologies – Nanoelectronics, 10–13 January 2006, pp. 273–277
63.
go back to reference J. Kwo, B.W. Busch, D.A. Muller, M. Hong, Y.J. Chabal, L. Manchanda, A.R. Kortan, J.P. Mannaerts, T. Boone, W.H. Schulte, E. Garfunkel, T. Gustafsson, Advances in high κ gate dielectrics for Si and III-V semiconductors, in 2002 IEEE International MBE Conference, 15–20 September 2002, pp. 47–48 J. Kwo, B.W. Busch, D.A. Muller, M. Hong, Y.J. Chabal, L. Manchanda, A.R. Kortan, J.P. Mannaerts, T. Boone, W.H. Schulte, E. Garfunkel, T. Gustafsson, Advances in high κ gate dielectrics for Si and III-V semiconductors, in 2002 IEEE International MBE Conference, 15–20 September 2002, pp. 47–48
64.
go back to reference J. Kwo, M. Hong, A.R. Kortan, K.L. Queeney, Y.J. Chabal, R.L. Opila Jr., D.A. Muller, S.N.G. Chu, B.J. Sapjeta, T.S. Lay, J.P. Mannaerts, T. Boone, H.W. Krautter, J.J. Krajewski, A.M. Sergnt, J.M. Rosamilia, J. Appl. Phys. 89, 3920 (2001). doi:10.1063/1.1352688 J. Kwo, M. Hong, A.R. Kortan, K.L. Queeney, Y.J. Chabal, R.L. Opila Jr., D.A. Muller, S.N.G. Chu, B.J. Sapjeta, T.S. Lay, J.P. Mannaerts, T. Boone, H.W. Krautter, J.J. Krajewski, A.M. Sergnt, J.M. Rosamilia, J. Appl. Phys. 89, 3920 (2001). doi:10.​1063/​1.​1352688
66.
go back to reference C.H. Choi, S.J. Rhee, T.S. Jeon, N. Lu, J.H. Sim, R. Clark, M. Niwa, D.L. Kwong, Thermally stable CVD HfOxNy advanced gate dielectrics with poly-Si gate electrode, in IEDM Technical Digest, San Francisco, CA, 8–11 December 2002, pp. 857–860 C.H. Choi, S.J. Rhee, T.S. Jeon, N. Lu, J.H. Sim, R. Clark, M. Niwa, D.L. Kwong, Thermally stable CVD HfOxNy advanced gate dielectrics with poly-Si gate electrode, in IEDM Technical Digest, San Francisco, CA, 8–11 December 2002, pp. 857–860
67.
go back to reference J.-H. Kim, K.-J. Choi, S.-G. Yoon, Effects of nitrogen in HFO2 gate dielectric on the electrical and reliability characteristics by N2 plasma, in Electrochemical Society Proceedings, vol. 4, Dielectrics for Nanosystems: Materials Science, Processing, Reliability, and Manufacturing – Proceedings of the First International Symposium, Honolulu, HI, Fall April 2004, pp. 464–469 J.-H. Kim, K.-J. Choi, S.-G. Yoon, Effects of nitrogen in HFO2 gate dielectric on the electrical and reliability characteristics by N2 plasma, in Electrochemical Society Proceedings, vol. 4, Dielectrics for Nanosystems: Materials Science, Processing, Reliability, and Manufacturing – Proceedings of the First International Symposium, Honolulu, HI, Fall April 2004, pp. 464–469
70.
go back to reference N. Umezawa, K. Shiraishi, T. Ohno, H. Watanabe, T. Chikyow, K. Torii, K. Yamabe, K. Yamada, H. Kitajima, T. Arikado, Appl. Phys. Lett. 86, 143507 (2005). doi:10.1063/1.1899232 N. Umezawa, K. Shiraishi, T. Ohno, H. Watanabe, T. Chikyow, K. Torii, K. Yamabe, K. Yamada, H. Kitajima, T. Arikado, Appl. Phys. Lett. 86, 143507 (2005). doi:10.​1063/​1.​1899232
72.
go back to reference M. Inoue, S. Tsujikawa, M. Mizutani, K. Nomura, T. Hayashi, K. Shiga, J. Yugami, J. Tsuchimoto, Y. Ohno, M. Yoneda, Fluorine incorporation into HfSiON dielectric for Vth control and its impact on reliability for poly-Si gate pFET, in IEDM Technical Digest, Washington, DC, 5–7 December 2005, pp. 413–416 M. Inoue, S. Tsujikawa, M. Mizutani, K. Nomura, T. Hayashi, K. Shiga, J. Yugami, J. Tsuchimoto, Y. Ohno, M. Yoneda, Fluorine incorporation into HfSiON dielectric for Vth control and its impact on reliability for poly-Si gate pFET, in IEDM Technical Digest, Washington, DC, 5–7 December 2005, pp. 413–416
73.
go back to reference K.I. Seo, R. Sreenivasan, P.C. McIntyre, K.C. Saraswat, Improvement in high-κ (HfO2/SiO2) reliability by incorporation of fluorine, in IEDM Technical Digest, Washington, DC, 5–7 December 2005, pp. 417–420 K.I. Seo, R. Sreenivasan, P.C. McIntyre, K.C. Saraswat, Improvement in high-κ (HfO2/SiO2) reliability by incorporation of fluorine, in IEDM Technical Digest, Washington, DC, 5–7 December 2005, pp. 417–420
74.
go back to reference H.H. Tseng, P.J. Tobin, E.A. Herbert, S. Kalpat, M.E. Ramon, L. Fonseca, Z.X. Jiang, J.K. Schaeffer, R.I. Hegde, D.H. Triyoso, D.C. Gilmer, W.J. Taylor, C.C. Capasso, O. Adetutu, D. Sing, J. Conner, E. Luckowski, B.W. Chan, A. Haggag, B.E. White, Microstructure modified HfO2 using Zr addition with TaxCy gate for improved device performance and reliability, in IEDM Technical Digest, Washington, DC, 5–7 December 2005, pp. 35–38 H.H. Tseng, P.J. Tobin, E.A. Herbert, S. Kalpat, M.E. Ramon, L. Fonseca, Z.X. Jiang, J.K. Schaeffer, R.I. Hegde, D.H. Triyoso, D.C. Gilmer, W.J. Taylor, C.C. Capasso, O. Adetutu, D. Sing, J. Conner, E. Luckowski, B.W. Chan, A. Haggag, B.E. White, Microstructure modified HfO2 using Zr addition with TaxCy gate for improved device performance and reliability, in IEDM Technical Digest, Washington, DC, 5–7 December 2005, pp. 35–38
78.
go back to reference A. Toriumi, K. Tomida, H. Shimizu, K. Kita, K. Kyuno, Far- and mid-infrared absorption study of HfO2/SiO2/Si system, in Silicon Nitride and Silicon Dioxide Thin Insulating Films and Other Emerging Dielectrics VIII (Electrochemical Society, Piscataway, 2005), p. 471 A. Toriumi, K. Tomida, H. Shimizu, K. Kita, K. Kyuno, Far- and mid-infrared absorption study of HfO2/SiO2/Si system, in Silicon Nitride and Silicon Dioxide Thin Insulating Films and Other Emerging Dielectrics VIII (Electrochemical Society, Piscataway, 2005), p. 471
79.
go back to reference Y.-H. Lin, C.-H. Chien, C.-T. Lin, C.-W. Chen, C.-Y. Chang, T.-F. Lei, High performance multi-bit nonvolatile HfO2 nanocrystal memory using spinodal phase separation of hafnium silicate, in IEDM Technical Digest, San Francisco, CA, 13–15 December 2004, pp. 1080–1082 Y.-H. Lin, C.-H. Chien, C.-T. Lin, C.-W. Chen, C.-Y. Chang, T.-F. Lei, High performance multi-bit nonvolatile HfO2 nanocrystal memory using spinodal phase separation of hafnium silicate, in IEDM Technical Digest, San Francisco, CA, 13–15 December 2004, pp. 1080–1082
81.
go back to reference A. Toriumi, K. Kita, Material engineering of high-κ gate dielectrics, in Dielectric Films for Advanced Microelectronics, ed. by M. Baklanov, K. Maex, M. Green (Wiley, Chichester, UK, 2007), p. 325 A. Toriumi, K. Kita, Material engineering of high-κ gate dielectrics, in Dielectric Films for Advanced Microelectronics, ed. by M. Baklanov, K. Maex, M. Green (Wiley, Chichester, UK, 2007), p. 325
82.
go back to reference J.J. Chambers, A.L.P. Rotondaro, M.J. Bevan, M.R. Visokay, L. Colombo, Effect of composition and post-deposition annealing on the etch rate of hafnium and zirconium silicates in dilute HF, in Proceedings of the Seventh International Symposium on Cleaning Technology in Semiconductor Device Manufacturing (The Electrochemical Society Proceedings, 2001), p. 359 J.J. Chambers, A.L.P. Rotondaro, M.J. Bevan, M.R. Visokay, L. Colombo, Effect of composition and post-deposition annealing on the etch rate of hafnium and zirconium silicates in dilute HF, in Proceedings of the Seventh International Symposium on Cleaning Technology in Semiconductor Device Manufacturing (The Electrochemical Society Proceedings, 2001), p. 359
84.
go back to reference K.L. Saenger, H.F. Okorn-Schmidt, C.P. D’Emic, Mater. Res. Soc. Symp. Proc. 745, 79 (2002) K.L. Saenger, H.F. Okorn-Schmidt, C.P. D’Emic, Mater. Res. Soc. Symp. Proc. 745, 79 (2002)
90.
go back to reference K. Pelhos, V.M. Donnelly, A. Kornblit, M.L. Green, R.B. Van Dover, L. Manchanda, Y. Hu, M. Morris, E. Bower, J. Vac. Sci. Technol. A 19, 1361 (2001). doi:10.1116/1.1349721 K. Pelhos, V.M. Donnelly, A. Kornblit, M.L. Green, R.B. Van Dover, L. Manchanda, Y. Hu, M. Morris, E. Bower, J. Vac. Sci. Technol. A 19, 1361 (2001). doi:10.​1116/​1.​1349721
92.
go back to reference S. Guha, E.P. Gusev, M. Copel, L.-Å. Ragnarsson, D.A. Buchanan, Mater. Res. Soc. Bull. 27, 226 (2002) S. Guha, E.P. Gusev, M. Copel, L.-Å. Ragnarsson, D.A. Buchanan, Mater. Res. Soc. Bull. 27, 226 (2002)
96.
go back to reference L. Yang, J.R. Watling, J.R. Barker, A. Asenov, in Physics of Semiconductors, vol. 27, ed. by J. Menedez, C.G. Van de Walle (AIP Press, 2005), p. 1497 L. Yang, J.R. Watling, J.R. Barker, A. Asenov, in Physics of Semiconductors, vol. 27, ed. by J. Menedez, C.G. Van de Walle (AIP Press, 2005), p. 1497
97.
go back to reference Z. Ren, M. Fischetti, E.P. Gusev, E. Cartier, M. Chudzik, Inversion channel mobility in high-κ high performance MOSFETs, in IEDM Technical Digest (2003), pp. 793–796 Z. Ren, M. Fischetti, E.P. Gusev, E. Cartier, M. Chudzik, Inversion channel mobility in high-κ high performance MOSFETs, in IEDM Technical Digest (2003), pp. 793–796
99.
go back to reference C.C. Hobbs, L.R. C. Fonseca, A. Knizhnik, V. Dhandapani, S.B. Samavedam, W.J. Taylor, J.M. Grant, L.G. Dip, D.H. Triyoso, R.I. Hegde, D.C. Gilmer, R. Garcia, D. Roan, M.L. Lovejoy, R.S. Rai, E.A. Hebert, H.H. Tseng, S.G.H. Anderson, B.E. White, P.J. Tobin, IEEE Trans. Electron Device 51, 971 (2004). doi:10.1109/TED.2004.829513 C.C. Hobbs, L.R. C. Fonseca, A. Knizhnik, V. Dhandapani, S.B. Samavedam, W.J. Taylor, J.M. Grant, L.G. Dip, D.H. Triyoso, R.I. Hegde, D.C. Gilmer, R. Garcia, D. Roan, M.L. Lovejoy, R.S. Rai, E.A. Hebert, H.H. Tseng, S.G.H. Anderson, B.E. White, P.J. Tobin, IEEE Trans. Electron Device 51, 971 (2004). doi:10.​1109/​TED.​2004.​829513
100.
go back to reference C.C. Hobbs, L.R.C. Fonseca, A. Knizhnik, V. Dhandapani, S.B. Samavedam, W.J. Taylor, J.M. Grant, L.G. Dip, D.H. Triyoso, R.I. Hegde, D.C. Gilmer, R. Garcia, D. Roan, M.L. Lovejoy, R.S. Rai, E.A. Hebert, H.H. Tseng, S.G.H. Anderson, B.E. White, P.J. Tobin, IEEE Trans. Electron Device 51, 978 (2004). doi:10.1109/TED.2004.829510 C.C. Hobbs, L.R.C. Fonseca, A. Knizhnik, V. Dhandapani, S.B. Samavedam, W.J. Taylor, J.M. Grant, L.G. Dip, D.H. Triyoso, R.I. Hegde, D.C. Gilmer, R. Garcia, D. Roan, M.L. Lovejoy, R.S. Rai, E.A. Hebert, H.H. Tseng, S.G.H. Anderson, B.E. White, P.J. Tobin, IEEE Trans. Electron Device 51, 978 (2004). doi:10.​1109/​TED.​2004.​829510
101.
go back to reference W.S. Kim, S. Kamiyama, T. Aoyama, H. Itoh, T. Maeda, T. Kawahara, K. Torii, H. Kitajima, T. Arikado, Depletion-free poly-Si gate high-κ CMOSFETs, in IEDM Technical Digest, San Francisco, CA, 13–15 December 2004, pp. 833–836 W.S. Kim, S. Kamiyama, T. Aoyama, H. Itoh, T. Maeda, T. Kawahara, K. Torii, H. Kitajima, T. Arikado, Depletion-free poly-Si gate high-κ CMOSFETs, in IEDM Technical Digest, San Francisco, CA, 13–15 December 2004, pp. 833–836
102.
go back to reference M.M. Frank, V.K. Paruchuri, V. Narayanan, N. Bojarczuk, B. Linder, S. Zafar, E.A. Cartier, E.P. Gusev, P.C. Jamison, K.-L. Lee, M.L. Steen, M. Copel, S.A. Cohen, K. Maitra, X. Wang, P.M. Kozlowski, J.S. Newbury, D.R. Medeiros, P. Oldiges, S. Guha, R. Jammy, M. Ieong, G. Shahidi, Poly-Si/high-κ gate stacks with near-ideal threshold voltage and mobility, in IEEE VLSI–TSA–Tech, International Symposium on VLSI Technology, San Francisco, CA, 13–15 December 2004, pp. 97–98 M.M. Frank, V.K. Paruchuri, V. Narayanan, N. Bojarczuk, B. Linder, S. Zafar, E.A. Cartier, E.P. Gusev, P.C. Jamison, K.-L. Lee, M.L. Steen, M. Copel, S.A. Cohen, K. Maitra, X. Wang, P.M. Kozlowski, J.S. Newbury, D.R. Medeiros, P. Oldiges, S. Guha, R. Jammy, M. Ieong, G. Shahidi, Poly-Si/high-κ gate stacks with near-ideal threshold voltage and mobility, in IEEE VLSI–TSA–Tech, International Symposium on VLSI Technology, San Francisco, CA, 13–15 December 2004, pp. 97–98
103.
go back to reference A. Chatterjee, R.A. Chapman, K. Joyner, M. Otobe, S. Hattangady, M. Bevan, G.A. Brown, H. Yang, Q. He, D. Rogers, D. Fang, S.J. Kraft, R. Rotondaro, A.L.P. Terry, M. Brennan, K. Aur, S.-W. Hu, J.C. Tsai, H.-L. Jones, P. Wilk, G. Aoki, M. Rodder, M. Chen, I.-C., CMOS metal replacement gate transistors using tantalum pentoxide gate insulator, in IEDM Technical Digest, San Francisco, CA, 13–15 December 2004, p. 777 A. Chatterjee, R.A. Chapman, K. Joyner, M. Otobe, S. Hattangady, M. Bevan, G.A. Brown, H. Yang, Q. He, D. Rogers, D. Fang, S.J. Kraft, R. Rotondaro, A.L.P. Terry, M. Brennan, K. Aur, S.-W. Hu, J.C. Tsai, H.-L. Jones, P. Wilk, G. Aoki, M. Rodder, M. Chen, I.-C., CMOS metal replacement gate transistors using tantalum pentoxide gate insulator, in IEDM Technical Digest, San Francisco, CA, 13–15 December 2004, p. 777
104.
go back to reference A. Callegari, P. Jamison, E. Carrier, S. Zafar, E. Gusev, V. Narayanan, C. D’Emic, D. Lacey, F.R. McFeely, R. Jammy, M. Gribelyuk, J. Shepard, W. Andreoni, A. Curioni, C. Pignedoli, Interface engineering for enhanced electron mobilities in W/HfO2 gate stacks, in IEDM Technical Digest, San Francisco, CA, 13–15 December 2004, p. 825 A. Callegari, P. Jamison, E. Carrier, S. Zafar, E. Gusev, V. Narayanan, C. D’Emic, D. Lacey, F.R. McFeely, R. Jammy, M. Gribelyuk, J. Shepard, W. Andreoni, A. Curioni, C. Pignedoli, Interface engineering for enhanced electron mobilities in W/HfO2 gate stacks, in IEDM Technical Digest, San Francisco, CA, 13–15 December 2004, p. 825
106.
go back to reference B.H. Lee, A. Mocuta, S. Bedell, H. Chen, D. Sadana, K. Rim, P. O’Neil, R. Mo, K. Chan, C. Cabral, C. Lavoie, D. Mocuta, A. Chakravarti, R.M. Mitchell, J. Mezzapelle, F. Jamin, M. Sendelbach, H. Kermel, M. Gribelyuk, A. Domenicucci, K.A. Jenkins, S. Narasimha, S.H. Ku, M. Ieong, I.Y. Yang, E. Leobandung, P. Agnello, W. Haensch, J. Welser, Performance enhancement on sub-70 nm strained silicon SOI MOSFETs on ultra-thin thermally mixed strained silicon/SiGe on insulator (TM-SGOI) substrate with raised S/D, in IEDM Technical Digest, San Francisco, CA, 8–11 December 2002, pp. 946–948 B.H. Lee, A. Mocuta, S. Bedell, H. Chen, D. Sadana, K. Rim, P. O’Neil, R. Mo, K. Chan, C. Cabral, C. Lavoie, D. Mocuta, A. Chakravarti, R.M. Mitchell, J. Mezzapelle, F. Jamin, M. Sendelbach, H. Kermel, M. Gribelyuk, A. Domenicucci, K.A. Jenkins, S. Narasimha, S.H. Ku, M. Ieong, I.Y. Yang, E. Leobandung, P. Agnello, W. Haensch, J. Welser, Performance enhancement on sub-70 nm strained silicon SOI MOSFETs on ultra-thin thermally mixed strained silicon/SiGe on insulator (TM-SGOI) substrate with raised S/D, in IEDM Technical Digest, San Francisco, CA, 8–11 December 2002, pp. 946–948
107.
go back to reference K. Rim, K. Chan, L. Shi, L. Boyd, D. Ott, J. Klymko, N. Cardone, F. Tai, L. Koester, S. Cobb, M. Canaperi, D. To, B. Duch, E. Babich, I. Carruthers, R. Saunders, P. Walker, G. Zhang, Y. Steen, M. Ieong, Fabrication and mobility characteristics of ultra-thin strained Si directly on insulator (SSDOI) MOSFETs, in IEDM Technical Digest, Washington, DC, 8–10 December 2003, pp. 49–52 K. Rim, K. Chan, L. Shi, L. Boyd, D. Ott, J. Klymko, N. Cardone, F. Tai, L. Koester, S. Cobb, M. Canaperi, D. To, B. Duch, E. Babich, I. Carruthers, R. Saunders, P. Walker, G. Zhang, Y. Steen, M. Ieong, Fabrication and mobility characteristics of ultra-thin strained Si directly on insulator (SSDOI) MOSFETs, in IEDM Technical Digest, Washington, DC, 8–10 December 2003, pp. 49–52
108.
go back to reference S. Ito, H. Namba, K. Yamaguchi, T. Hirata, K. Ando, S. Koyama, S. Kuroki, N. Ikezawa, T. Suzuki, T. Saitoh, T.Horiuchi, Mechanical stress effect of etch-stop nitride and its impact on deep submicron transistor design, in IEDM Technical Digest, San Francisco, CA, 10–13 December 2000, pp. 247–250 S. Ito, H. Namba, K. Yamaguchi, T. Hirata, K. Ando, S. Koyama, S. Kuroki, N. Ikezawa, T. Suzuki, T. Saitoh, T.Horiuchi, Mechanical stress effect of etch-stop nitride and its impact on deep submicron transistor design, in IEDM Technical Digest, San Francisco, CA, 10–13 December 2000, pp. 247–250
109.
go back to reference K. Ota, K. Sugihara, H. Sayama, T. Uchida, H. Oda, T. Eimori, H. Morimoto, Y. Inoue, Novel locally strained channel technique for high performance 55 nm CMOS, in IEDM Technical Digest, San Francisco, CA, 8–11 December 2002, pp. 27–30 K. Ota, K. Sugihara, H. Sayama, T. Uchida, H. Oda, T. Eimori, H. Morimoto, Y. Inoue, Novel locally strained channel technique for high performance 55 nm CMOS, in IEDM Technical Digest, San Francisco, CA, 8–11 December 2002, pp. 27–30
110.
go back to reference V. Chan, K. Rim, M. Ieong, S. Yang, R. Malik, Y. W. Teh, M. Yang, Q. (Christine) Ouyang, in IEEE 2005 Custom Integrated Circuits Conference, 18–21 September 2005, pp. 667–674 V. Chan, K. Rim, M. Ieong, S. Yang, R. Malik, Y. W. Teh, M. Yang, Q. (Christine) Ouyang, in IEEE 2005 Custom Integrated Circuits Conference, 18–21 September 2005, pp. 667–674
111.
go back to reference P. Bai, C. Auth, S. Balakrishnan, M. Bost, R. Brain, V. Chikarmane, R. Heussner, M. Hussein, J. Hwang, D. Ingerly, R. James, I. Jeong, C. Kenyan, E. Lee, S.-H. Lee, N. Lindert, M. Liu, Z. Ma, T. Marieb, A. Murthy, R. Nagisetty, S. Natarajan, J. Neirynck, A. Ott, C. Parker, J. Sebastian, R. Shaheed, S. Sivakumar, J. Steigenvald, S. Tyagi, C. Weber, B. Woolel, A. Yeoh, K. Zhang, M. Bohr, A 65 nm logic technology featuring 35 nm gate lengths, enhanced channel strain, 8 Cu interconnect layers, low-κ ILD and 0.57 μm2 SRAM cell, in IEDM Technical Digest, San Francisco, CA, 13–15 December 2004, pp. 657–660 P. Bai, C. Auth, S. Balakrishnan, M. Bost, R. Brain, V. Chikarmane, R. Heussner, M. Hussein, J. Hwang, D. Ingerly, R. James, I. Jeong, C. Kenyan, E. Lee, S.-H. Lee, N. Lindert, M. Liu, Z. Ma, T. Marieb, A. Murthy, R. Nagisetty, S. Natarajan, J. Neirynck, A. Ott, C. Parker, J. Sebastian, R. Shaheed, S. Sivakumar, J. Steigenvald, S. Tyagi, C. Weber, B. Woolel, A. Yeoh, K. Zhang, M. Bohr, A 65 nm logic technology featuring 35 nm gate lengths, enhanced channel strain, 8 Cu interconnect layers, low-κ ILD and 0.57 μm2 SRAM cell, in IEDM Technical Digest, San Francisco, CA, 13–15 December 2004, pp. 657–660
114.
go back to reference K. Rim, S. Narasimha, M. Longstreet, A. Mocuta, J. Cai, Low field mobility characteristics of sub-100 nm unstrained and strained Si MOSFETs, in IEDM Technical Digest, San Francisco, CA, 8–11 December 2002, pp. 43–46 K. Rim, S. Narasimha, M. Longstreet, A. Mocuta, J. Cai, Low field mobility characteristics of sub-100 nm unstrained and strained Si MOSFETs, in IEDM Technical Digest, San Francisco, CA, 8–11 December 2002, pp. 43–46
116.
go back to reference Q. Xiang, J.-S. Goo, J. Pan, B. Yu, S. Ahmed, J. Zhang, M.R. Lin, Strained silicon NMOS with nickel-silicide metal gate, in Symposium on VLSI Technology Digest of Technical Papers, Kyoto, Japan, 9–12 June 2003, pp. 101–102 Q. Xiang, J.-S. Goo, J. Pan, B. Yu, S. Ahmed, J. Zhang, M.R. Lin, Strained silicon NMOS with nickel-silicide metal gate, in Symposium on VLSI Technology Digest of Technical Papers, Kyoto, Japan, 9–12 June 2003, pp. 101–102
117.
go back to reference H.C.-H. Wang et al., Substrate-strained silicon technology: process integration, in IEDM Technical Digest, Washington, DC, 8–10 December 2003, pp. 61–64 H.C.-H. Wang et al., Substrate-strained silicon technology: process integration, in IEDM Technical Digest, Washington, DC, 8–10 December 2003, pp. 61–64
118.
go back to reference K. Rim, J.L. Hoyt, J.F. Gibbons, Transconductance enhancement in deep submicron strained Si n-MOSFETs, in IEDM Technical Digest, San Francisco, CA, 6–9 December 1998, pp. 707–710 K. Rim, J.L. Hoyt, J.F. Gibbons, Transconductance enhancement in deep submicron strained Si n-MOSFETs, in IEDM Technical Digest, San Francisco, CA, 6–9 December 1998, pp. 707–710
120.
go back to reference D.K. Sadana, IBM, III-V Substrate Engineering, 4 December 2005 D.K. Sadana, IBM, III-V Substrate Engineering, 4 December 2005
122.
go back to reference X.-J. Zhang, G. Xue, A. Agarwal, R. Tsu, M.-A. Hasan, J.E. Greene, A. Rockett, J. Vac. Sci. Technol. A. 11, 2553 (1993). doi:10.1116/1.578606 X.-J. Zhang, G. Xue, A. Agarwal, R. Tsu, M.-A. Hasan, J.E. Greene, A. Rockett, J. Vac. Sci. Technol. A. 11, 2553 (1993). doi:10.​1116/​1.​578606
123.
go back to reference J.J.-H. Chen, N. Bojarczuk, H. Shang, M. Copel, J. Hannon, J. Karasinski, E. Preisler, S.K. Banerjee, S. Guha, IEEE Trans. Electron Device 51, 1441 (2004). doi:10.1109/TED.2004.833593 J.J.-H. Chen, N. Bojarczuk, H. Shang, M. Copel, J. Hannon, J. Karasinski, E. Preisler, S.K. Banerjee, S. Guha, IEEE Trans. Electron Device 51, 1441 (2004). doi:10.​1109/​TED.​2004.​833593
124.
125.
go back to reference T. Conard, H. Bender, W. Vandervorst, Physical characterisation of ultra-thin high-κ dielectric, in Dielectric Films for Advanced Microelectronics, ed. by M. Baklanov, K. Maex, M. Green (Wiley, Chichester, UK, 2007), p. 342 T. Conard, H. Bender, W. Vandervorst, Physical characterisation of ultra-thin high-κ dielectric, in Dielectric Films for Advanced Microelectronics, ed. by M. Baklanov, K. Maex, M. Green (Wiley, Chichester, UK, 2007), p. 342
126.
go back to reference N. Wu, Q. Zhang, C. Zhu, C. Yeo, S.J. Whang, D.S.H. Chan, M.F. Li, A. Chin, D.L. Kwong, A.Y. Du, C.H. Tung, N. Balasubramanian, Appl. Phys. Lett. 85, 4127 (2004). doi:10.1063/1.1812835 N. Wu, Q. Zhang, C. Zhu, C. Yeo, S.J. Whang, D.S.H. Chan, M.F. Li, A. Chin, D.L. Kwong, A.Y. Du, C.H. Tung, N. Balasubramanian, Appl. Phys. Lett. 85, 4127 (2004). doi:10.​1063/​1.​1812835
129.
go back to reference H. Shang, M.M. Frank, E.P. Gusev, J.O. Chu, S.W. Bedell, K.W. Guarini, M. Ieong, IBM J. Res. Dev. 50(4/5), 377–386 (2006) H. Shang, M.M. Frank, E.P. Gusev, J.O. Chu, S.W. Bedell, K.W. Guarini, M. Ieong, IBM J. Res. Dev. 50(4/5), 377–386 (2006)
130.
go back to reference H. Shang, H. Okorn-Schmidt, K.K. Chan, M. Copel, J.A. Ott, P.M. Kozlowski, S.E. Steen, S.A. Cordes, H.-S.P. Wong, E.C. Jones, W.E. Haensch, IEEE Electron Device Lett. 24, 242–244 (2003). doi:10.1109/LED.2003.810879 H. Shang, H. Okorn-Schmidt, K.K. Chan, M. Copel, J.A. Ott, P.M. Kozlowski, S.E. Steen, S.A. Cordes, H.-S.P. Wong, E.C. Jones, W.E. Haensch, IEEE Electron Device Lett. 24, 242–244 (2003). doi:10.​1109/​LED.​2003.​810879
132.
133.
go back to reference C.O. Chui, H. Kim, D. Chi, B.B. Triplett, P.C. McIntyre, K.C. Saraswat, A sub-400°C germanium MOSFET technology with high-κ dielectric and metal gate, in IEDM Technical Digest, San Francisco, CA, 8–11 December 2002, p. 437 C.O. Chui, H. Kim, D. Chi, B.B. Triplett, P.C. McIntyre, K.C. Saraswat, A sub-400°C germanium MOSFET technology with high-κ dielectric and metal gate, in IEDM Technical Digest, San Francisco, CA, 8–11 December 2002, p. 437
134.
go back to reference H. Shang, E. Gousev, M. Gribelyuk, J.O. Chu, P.M. Mooney, X. Wang, K.W. Guarini, M. Ieong, Fabrication, device design and mobility enhancement of germanium channel MOSFETs, in Proceedings of the International Conference on Solid State and Integrated Circuits Technology (ICSICT), Beijing, China, 18–21 October 2004, pp. 306–309 H. Shang, E. Gousev, M. Gribelyuk, J.O. Chu, P.M. Mooney, X. Wang, K.W. Guarini, M. Ieong, Fabrication, device design and mobility enhancement of germanium channel MOSFETs, in Proceedings of the International Conference on Solid State and Integrated Circuits Technology (ICSICT), Beijing, China, 18–21 October 2004, pp. 306–309
135.
go back to reference W.P. Bai, N. Lu, J. Liu, A. Ramirez, D.L. Kwong, D. Wristers, A. Ritenour, L. Lee, D. Antoniadis, Ge MOS characteristics with CVD HfO2 gate dielectrics and TaN gate electrode, in Symposium on VLSI Technology, 10–12 June 2003, pp. 121–122 W.P. Bai, N. Lu, J. Liu, A. Ramirez, D.L. Kwong, D. Wristers, A. Ritenour, L. Lee, D. Antoniadis, Ge MOS characteristics with CVD HfO2 gate dielectrics and TaN gate electrode, in Symposium on VLSI Technology, 10–12 June 2003, pp. 121–122
136.
go back to reference C.H. Huang, D.S. Yu, A. Chin, C.H. Wu, W.J. Chen, C. Zhu, M.F. Li, B.J. Cho, D.-L. Kwong, Fully silicided NiSi and germanided NiGe dual gates on SiO2/Si and Al2O3/Ge-on-insulator MOSFETs, in IEDM Technical Digest, Washington, DC, 8–10 December 2003, pp. 319–322 C.H. Huang, D.S. Yu, A. Chin, C.H. Wu, W.J. Chen, C. Zhu, M.F. Li, B.J. Cho, D.-L. Kwong, Fully silicided NiSi and germanided NiGe dual gates on SiO2/Si and Al2O3/Ge-on-insulator MOSFETs, in IEDM Technical Digest, Washington, DC, 8–10 December 2003, pp. 319–322
138.
go back to reference M.L. Lee, E.A. Fitzgerald, in IEDM Technical Digest, Washington, DC, 8–10 December 2003, pp. 429–432 M.L. Lee, E.A. Fitzgerald, in IEDM Technical Digest, Washington, DC, 8–10 December 2003, pp. 429–432
139.
142.
go back to reference O. Weber, Y. Bogumilowica, T. Ernst, J.-M. Hartmann, F. Ducroquet, F. Andrieu, C. Dupre, L. Clavelier, C. Le Royer, N. Cherkashin, M. Hytch, D. Rouchon, H. Dansas, A.-M. Papon, V. Carron, C. Tabone, S. Deleonibus, Strained Si and Ge MOSFETs with high-κ/metal gate stack for high mobility dual channel CMOS, in IEDM Technical Digest, Washington, DC, 5–7 December 2005, pp. 137–140 O. Weber, Y. Bogumilowica, T. Ernst, J.-M. Hartmann, F. Ducroquet, F. Andrieu, C. Dupre, L. Clavelier, C. Le Royer, N. Cherkashin, M. Hytch, D. Rouchon, H. Dansas, A.-M. Papon, V. Carron, C. Tabone, S. Deleonibus, Strained Si and Ge MOSFETs with high-κ/metal gate stack for high mobility dual channel CMOS, in IEDM Technical Digest, Washington, DC, 5–7 December 2005, pp. 137–140
143.
go back to reference S.J. Koester, R. Hammond, J.O. Chu, P.M. Mooney, J.A. Ott, L. Perraud, K.A. Jenkins, C.S. Webster, I. Lagnado, P.R. de la Houssaye, IEEE Electron Device Lett. 22, 92 (2001). doi:10.1109/55.902842 S.J. Koester, R. Hammond, J.O. Chu, P.M. Mooney, J.A. Ott, L. Perraud, K.A. Jenkins, C.S. Webster, I. Lagnado, P.R. de la Houssaye, IEEE Electron Device Lett. 22, 92 (2001). doi:10.​1109/​55.​902842
145.
go back to reference S.J. Koester, R. Hammond, J.O. Chu, P.M. Mooney, J.A. Ott, L. Perraud, K.A. Jenkins, C.S. Webster, I. Lagnado, P.R. de la Houssaye, IEEE Electron Device Lett. 22, 92 (2001). doi:10.1109/55.902842 S.J. Koester, R. Hammond, J.O. Chu, P.M. Mooney, J.A. Ott, L. Perraud, K.A. Jenkins, C.S. Webster, I. Lagnado, P.R. de la Houssaye, IEEE Electron Device Lett. 22, 92 (2001). doi:10.​1109/​55.​902842
147.
148.
go back to reference R. Houdre, H. Morkoç, Solid-State Mater. Sci. 16, 91 (1990) R. Houdre, H. Morkoç, Solid-State Mater. Sci. 16, 91 (1990)
149.
go back to reference R. Fisher, H. Morkoç, D.A. Neumann, H. Zabel, C. Choi, N. Otsuka, M. Longerbone, L.P. Erickson, J. Appl. Phys. 60, 1640 (1986). doi:10.1063/1.337253 R. Fisher, H. Morkoç, D.A. Neumann, H. Zabel, C. Choi, N. Otsuka, M. Longerbone, L.P. Erickson, J. Appl. Phys. 60, 1640 (1986). doi:10.​1063/​1.​337253
160.
161.
go back to reference M. Passlack, M. Hong, J.P. Mannaerts, R.L. Opila, S.N.G. Chu, N. Moriya, F. Ren, J.R. Kwo, IEEE Trans. Electron Device 44, 214 (1997). doi:10.1109/16.557709 M. Passlack, M. Hong, J.P. Mannaerts, R.L. Opila, S.N.G. Chu, N. Moriya, F. Ren, J.R. Kwo, IEEE Trans. Electron Device 44, 214 (1997). doi:10.​1109/​16.​557709
163.
go back to reference M. Hong, J. Kwo, C.T. Liu, M.A. Marcus, T.S. Lay, F. Ren, J.P. Mannaerts, K.K. Ng, Y.K. Chen, L.J. Chou, K.C. Hsieh, K.Y. Cheng, in Light emitting devices for optoelectronic applications and the twenty-eighth state of-the-art program on compound semiconductors, ed. by H.Q. Hou, R.E. Sah, S.J. Pearton, F. Ren, K. Wada, The electrochemical society proceedings series (Pennington, NJ, 1998) M. Hong, J. Kwo, C.T. Liu, M.A. Marcus, T.S. Lay, F. Ren, J.P. Mannaerts, K.K. Ng, Y.K. Chen, L.J. Chou, K.C. Hsieh, K.Y. Cheng, in Light emitting devices for optoelectronic applications and the twenty-eighth state of-the-art program on compound semiconductors, ed. by H.Q. Hou, R.E. Sah, S.J. Pearton, F. Ren, K. Wada, The electrochemical society proceedings series (Pennington, NJ, 1998)
164.
go back to reference Y. Tong, G.K. Dalapati, H.J. Oh, B.J. Cho, The effect of interfacial layer of high-κ dielectrics on GaAs substrate, in 211th Electrochemical Society Meeting, Symposium E1 – Advanced Gate Stack, Source/Drain and Channel Engineering for Si-Based CMOS, Chicago, May 2007, p. 584 Y. Tong, G.K. Dalapati, H.J. Oh, B.J. Cho, The effect of interfacial layer of high-κ dielectrics on GaAs substrate, in 211th Electrochemical Society Meeting, Symposium E1 – Advanced Gate Stack, Source/Drain and Channel Engineering for Si-Based CMOS, Chicago, May 2007, p. 584
165.
go back to reference S.-J. Kim, J.-W.Park, M. Hong, J.P.Mannaerts, GaAs MOSFET using MBE-grown Ga2O3 (Gd2O3) as gate oxide. IEE Proc. Circuits Device Syst. 145(3), 162–164 (1998) S.-J. Kim, J.-W.Park, M. Hong, J.P.Mannaerts, GaAs MOSFET using MBE-grown Ga2O3 (Gd2O3) as gate oxide. IEE Proc. Circuits Device Syst. 145(3), 162–164 (1998)
166.
go back to reference Y.C. Wang, M. Hong, J.M. Kuo, J.P. Mannaerts, J. Kwo, H.S. Tsai, J.J. Krajewski, Y.K. Chen, A.Y. Cho, IEEE Electron Device Lett. 20, 457 (1999). doi:10.1109/55.784451 Y.C. Wang, M. Hong, J.M. Kuo, J.P. Mannaerts, J. Kwo, H.S. Tsai, J.J. Krajewski, Y.K. Chen, A.Y. Cho, IEEE Electron Device Lett. 20, 457 (1999). doi:10.​1109/​55.​784451
168.
go back to reference P.D. Ye, G.D. Wilk, J. Kwo, B. Yang, H.-J.L. Gossmann, M. Frei, S.N.G. Chu, J.P. Mannaerts, M. Sergent, M. Hong, K.K. Ng, J. Bude, IEEE Electron Device Lett. 24, 209 (2003). doi:10.1109/LED.2003.812144 P.D. Ye, G.D. Wilk, J. Kwo, B. Yang, H.-J.L. Gossmann, M. Frei, S.N.G. Chu, J.P. Mannaerts, M. Sergent, M. Hong, K.K. Ng, J. Bude, IEEE Electron Device Lett. 24, 209 (2003). doi:10.​1109/​LED.​2003.​812144
170.
go back to reference G G. Fountain, R.A. Rudder, S.V. Hattangady, R.J. Markunas, J.A. Hutchby, Demonstration of an n-channel inversion mode GaAs MISFET, in IEDM Technical Digest, Washington, DC, 3–6 December 1989, p. 887 G G. Fountain, R.A. Rudder, S.V. Hattangady, R.J. Markunas, J.A. Hutchby, Demonstration of an n-channel inversion mode GaAs MISFET, in IEDM Technical Digest, Washington, DC, 3–6 December 1989, p. 887
174.
175.
176.
go back to reference D.-G. Park, Z. Chen, D.M. Diatezua, Z. Wang, A. Rockett, H. Morkoç, S.A. Alterovitz, Appl. Phys. Lett. 70, 1263 (1997). doi:10.1063/1.118547 D.-G. Park, Z. Chen, D.M. Diatezua, Z. Wang, A. Rockett, H. Morkoç, S.A. Alterovitz, Appl. Phys. Lett. 70, 1263 (1997). doi:10.​1063/​1.​118547
178.
181.
go back to reference R.J.W. Hill, D.A.J. Moran, X. Li, H. Zhou, D. Macintyre, S. Thoms, R. Droopad, M. Passlack, I.G. Thayne, IEEE Electronics Lett. 43, 543 (2007). doi:10.1049/el:20070427 R.J.W. Hill, D.A.J. Moran, X. Li, H. Zhou, D. Macintyre, S. Thoms, R. Droopad, M. Passlack, I.G. Thayne, IEEE Electronics Lett. 43, 543 (2007). doi:10.​1049/​el:​20070427
Metadata
Title
High-κ dielectrics and advanced channel concepts for Si MOSFET
Authors
Mo Wu
Y. I. Alivov
Hadis Morkoç
Publication date
01-10-2008
Publisher
Springer US
Published in
Journal of Materials Science: Materials in Electronics / Issue 10/2008
Print ISSN: 0957-4522
Electronic ISSN: 1573-482X
DOI
https://doi.org/10.1007/s10854-008-9713-2

Other articles of this Issue 10/2008

Journal of Materials Science: Materials in Electronics 10/2008 Go to the issue