Skip to main content
Top
Published in: Datenbank-Spektrum 3/2018

10-09-2018 | Schwerpunktbeitrag

Integration of FPGAs in Database Management Systems: Challenges and Opportunities

Authors: Andreas Becher, Lekshmi B.G., David Broneske, Tobias Drewes, Bala Gurumurthy, Klaus Meyer-Wegener, Thilo Pionteck, Gunter Saake, Jürgen Teich, Stefan Wildermann

Published in: Datenbank-Spektrum | Issue 3/2018

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

In the presence of exponential growth of the data produced every day in volume, velocity, and variety, online analytical processing (OLAP) is becoming increasingly challenging. FPGAs offer hardware reconfiguration to enable query-specific pipelined and parallel data processing with the potential of maximizing throughput, speedup as well as energy and resource efficiency. However, dynamically configuring hardware accelerators to match a given OLAP query is a complex task. Furthermore, resource limitations restrict the coverage of OLAP operators. As a consequence, query optimization through partitioning the processing onto components of heterogeneous hardware/software systems seems a promising direction. While there exists work on operator placement for heterogeneous systems, it mainly targets systems combining multi-core CPUs with GPUs. However, an inclusion of FPGAs, which uniquely offer efficient and high-throughput pipelined processing at the expense of potential reconfiguration overheads, is still an open problem. We postulate that this challenge can only be met in a scalable fashion when providing a cooperative optimization between global and FPGA-specific optimizers. We demonstrate how this is addressed in two current research projects on FPGA-based query processing.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Show more products
Literature
1.
go back to reference Barthels C, Alonso G, Hoefler T, Schneider T, Müller I (2017) Distributed join algorithms on thousands of cores. Proceedings VLDB Endowment 10(5):517–528CrossRef Barthels C, Alonso G, Hoefler T, Schneider T, Müller I (2017) Distributed join algorithms on thousands of cores. Proceedings VLDB Endowment 10(5):517–528CrossRef
2.
go back to reference Becher A, Ziener D, Meyer-Wegener K, Teich J (2015) A co-design approach for accelerated SQL query processing via FPGA-based data filtering. In: FPT, pp 192–195 Becher A, Ziener D, Meyer-Wegener K, Teich J (2015) A co-design approach for accelerated SQL query processing via FPGA-based data filtering. In: FPT, pp 192–195
3.
go back to reference Becher A, Pirkl J, Herrmann A, Teich J, Wildermann S (2016a) Hybrid energy-aware reconfiguration management on Xilinx Zynq SoCs. In: ReConFig, pp 1–7 Becher A, Pirkl J, Herrmann A, Teich J, Wildermann S (2016a) Hybrid energy-aware reconfiguration management on Xilinx Zynq SoCs. In: ReConFig, pp 1–7
4.
go back to reference Becher A, Wildermann S, Mühlenthaler M, Teich J (2016b) Reorder: Runtime datapath generation for high-throughput multi-stream processing. In: ReConFig, pp 1–8 Becher A, Wildermann S, Mühlenthaler M, Teich J (2016b) Reorder: Runtime datapath generation for high-throughput multi-stream processing. In: ReConFig, pp 1–8
5.
go back to reference Becher A, Wildermann S, Teich J (2018) Optimistic regular expression matching on FPGAs for near-data processing. Proc. 14th Int. Workshop on Data Management on New Hardware, Houston, 11.6.2018, pp 1–4 Becher A, Wildermann S, Teich J (2018) Optimistic regular expression matching on FPGAs for near-data processing. Proc. 14th Int. Workshop on Data Management on New Hardware, Houston, 11.6.2018, pp 1–4
6.
go back to reference Breß S (2013) Why it is time for a HyPE: a hybrid query processing engine for efficient GPU coprocessing in DBMS. Proceedings VLDB Endowment 6(12):1398–1403CrossRef Breß S (2013) Why it is time for a HyPE: a hybrid query processing engine for efficient GPU coprocessing in DBMS. Proceedings VLDB Endowment 6(12):1398–1403CrossRef
11.
go back to reference Casper J, Olukotun K (2014) Hardware acceleration of database operations. In: FPGA, pp 151–160 Casper J, Olukotun K (2014) Hardware acceleration of database operations. In: FPGA, pp 151–160
13.
go back to reference Halstead RJ, Sukhwani B, Min H, Thoennes M, Dube P, Asaad SW, Iyer B (2013) Accelerating join operation for relational databases with FPGAs. In: FCCM, pp 17–20 Halstead RJ, Sukhwani B, Min H, Thoennes M, Dube P, Asaad SW, Iyer B (2013) Accelerating join operation for relational databases with FPGAs. In: FCCM, pp 17–20
14.
go back to reference Hampel V, Pionteck T, Maehle E (2012) An approach for performance estimation of hybrid systems with FPGAs and GPUs as coprocessors. In: ARCS, pp 160–171 Hampel V, Pionteck T, Maehle E (2012) An approach for performance estimation of hybrid systems with FPGAs and GPUs as coprocessors. In: ARCS, pp 160–171
16.
go back to reference Heimel M, Saecker M, Pirk H, Manegold S, Markl V (2013) Hardware-oblivious parallelism for in-memory column-stores. Proceedings VLDB Endowment 6(9):709–720CrossRef Heimel M, Saecker M, Pirk H, Manegold S, Markl V (2013) Hardware-oblivious parallelism for in-memory column-stores. Proceedings VLDB Endowment 6(9):709–720CrossRef
18.
go back to reference István Z, Sidler D, Alonso G (2016) Runtime parameterizable regular expression operators for databases. In: FCCM, pp 204–211 István Z, Sidler D, Alonso G (2016) Runtime parameterizable regular expression operators for databases. In: FCCM, pp 204–211
19.
go back to reference Kaldewey T, Lohman GM, Müller R, Volk PB (2012) GPU join processing revisited. In: DaMoN, pp 55–62CrossRef Kaldewey T, Lohman GM, Müller R, Volk PB (2012) GPU join processing revisited. In: DaMoN, pp 55–62CrossRef
20.
go back to reference Kara K, Giceva J, Alonso G (2017) FPGA-based data partitioning. In: SIGMOD, pp 433–445 Kara K, Giceva J, Alonso G (2017) FPGA-based data partitioning. In: SIGMOD, pp 433–445
21.
go back to reference Karnagel T, Habich D, Schlegel B, Lehner W (2014) Heterogeneity-aware operator placement in column-store DBMS. Datenbank Spektrum 14(3):211–221. https://doi.org/10.1007/s13222-014-0167-9CrossRef Karnagel T, Habich D, Schlegel B, Lehner W (2014) Heterogeneity-aware operator placement in column-store DBMS. Datenbank Spektrum 14(3):211–221. https://​doi.​org/​10.​1007/​s13222-014-0167-9CrossRef
22.
go back to reference Karnagel T, Habich D, Lehner W (2015) Local vs. global optimization: operator placement strategies in heterogeneous environments. In: Proceedings of the Workshops of the EDBT/ICDT 2015 Joint Conference, Brussels, Belgium, 27 March 2015, pp 48–55 Karnagel T, Habich D, Lehner W (2015) Local vs. global optimization: operator placement strategies in heterogeneous environments. In: Proceedings of the Workshops of the EDBT/ICDT 2015 Joint Conference, Brussels, Belgium, 27 March 2015, pp 48–55
23.
go back to reference Karnagel T, Habich D, Lehner W (2017) Adaptive work placement for query processing on heterogeneous computing resources. Proceedings VLDB Endowment 10(7):733–744CrossRef Karnagel T, Habich D, Lehner W (2017) Adaptive work placement for query processing on heterogeneous computing resources. Proceedings VLDB Endowment 10(7):733–744CrossRef
24.
go back to reference Kim C, Sedlar E, Chhugani J, Kaldewey T, Nguyen AD, Blas AD, Lee VW, Satish N, Dubey P (2009) Sort vs. hash revisited: fast join implementation on modern multi-core CPUs. Proceedings VLDB Endowment 2(2):1378–1389CrossRef Kim C, Sedlar E, Chhugani J, Kaldewey T, Nguyen AD, Blas AD, Lee VW, Satish N, Dubey P (2009) Sort vs. hash revisited: fast join implementation on modern multi-core CPUs. Proceedings VLDB Endowment 2(2):1378–1389CrossRef
25.
go back to reference Kim C, Chhugani J, Satish N, Sedlar E, Nguyen AD, Kaldewey T, Lee VW, Brandt SA, Dubey P (2010) FAST: fast architecture sensitive tree search on modern CPUs and GPUs. In: SIGMOD, pp 339–350 Kim C, Chhugani J, Satish N, Sedlar E, Nguyen AD, Kaldewey T, Lee VW, Brandt SA, Dubey P (2010) FAST: fast architecture sensitive tree search on modern CPUs and GPUs. In: SIGMOD, pp 339–350
26.
go back to reference Koch D, Tørresen J (2011) FPGASort: a high performance sorting architecture exploiting run-time reconfiguration on FPGAs for large problem sorting. In: FPGA, pp 45–54 Koch D, Tørresen J (2011) FPGASort: a high performance sorting architecture exploiting run-time reconfiguration on FPGAs for large problem sorting. In: FPGA, pp 45–54
27.
go back to reference Lang W, Kandhan R, Patel JM (2011) Rethinking query processing for energy efficiency: slowing down to win the race. IEEE Data Eng Bull 34(1):12–23 Lang W, Kandhan R, Patel JM (2011) Rethinking query processing for energy efficiency: slowing down to win the race. IEEE Data Eng Bull 34(1):12–23
28.
go back to reference Manegold S, Boncz P, Kersten ML (2002) Generic database cost models for hierarchical memory systems. In: Proceedings VLDB Endowment pp 191–202 Manegold S, Boncz P, Kersten ML (2002) Generic database cost models for hierarchical memory systems. In: Proceedings VLDB Endowment  pp 191–202
29.
go back to reference Müller R, Teubner J (2009) FPGA: what’s in it for a database? In: SIGMOD, pp 999–1004 Müller R, Teubner J (2009) FPGA: what’s in it for a database? In: SIGMOD, pp 999–1004
30.
go back to reference Müller R, Teubner J, Alonso G (2009) Streams on wires – A query compiler for FPGAs. Proceedings VLDB Endowment 2(1):229–240CrossRef Müller R, Teubner J, Alonso G (2009) Streams on wires – A query compiler for FPGAs. Proceedings VLDB Endowment 2(1):229–240CrossRef
31.
go back to reference Müller R, Teubner J, Alonso G (2010) Glacier: a query-to-hardware compiler. In: SIGMOD, pp 1159–1162 Müller R, Teubner J, Alonso G (2010) Glacier: a query-to-hardware compiler. In: SIGMOD, pp 1159–1162
32.
go back to reference Müller R, Teubner J, Alonso G (2012) Sorting networks on FPGAs. VLDB J 21(1):1–23. /link?doi=10.1007/s00778-011-0232-zCrossRef Müller R, Teubner J, Alonso G (2012) Sorting networks on FPGAs. VLDB J 21(1):1–23.  /link?doi=10.1007/s00778-011-0232-zCrossRef
33.
go back to reference Neumann T, Leis V (2014) Compiling database queries into machine code. IEEE Data Eng Bull 37(1):3–11 Neumann T, Leis V (2014) Compiling database queries into machine code. IEEE Data Eng Bull 37(1):3–11
34.
go back to reference Owaida M, Sidler D, Kara K, Alonso G (2017) Centaur: a framework for hybrid CPU-FPGA databases. In: FCCM, pp 211–218 Owaida M, Sidler D, Kara K, Alonso G (2017) Centaur: a framework for hybrid CPU-FPGA databases. In: FCCM, pp 211–218
35.
go back to reference Pirk H, Moll O, Zaharia M, Madden S (2016) Voodoo – a vector algebra for portable database performance on modern hardware. Proceedings VLDB Endowment 9(14):1707–1718CrossRef Pirk H, Moll O, Zaharia M, Madden S (2016) Voodoo – a vector algebra for portable database performance on modern hardware. Proceedings VLDB Endowment 9(14):1707–1718CrossRef
37.
go back to reference Polychroniou O, Raghavan A, Ross KA (2015) Rethinking SIMD vectorization for in-memory databases. In: SIGMOD, pp 1493–1508 Polychroniou O, Raghavan A, Ross KA (2015) Rethinking SIMD vectorization for in-memory databases. In: SIGMOD, pp 1493–1508
38.
go back to reference Putnam A, Caulfield A, Chung E, Chiou D, Constantinides K, Demme J, Esmaeilzadeh H, Fowers J, Gray J, Haselman M, Hauck S, Heil S, Hormati A, Kim JY, Lanka S, Peterson E, Smith A, Thong J, Xiao PY, Burger D, Larus J, Gopal GP, Pope S (2014) A reconfigurable fabric for accelerating large-scale datacenter services. In: ISCA, pp 13–24 Putnam A, Caulfield A, Chung E, Chiou D, Constantinides K, Demme J, Esmaeilzadeh H, Fowers J, Gray J, Haselman M, Hauck S, Heil S, Hormati A, Kim JY, Lanka S, Peterson E, Smith A, Thong J, Xiao PY, Burger D, Larus J, Gopal GP, Pope S (2014) A reconfigurable fabric for accelerating large-scale datacenter services. In: ISCA, pp 13–24
40.
go back to reference Rosenfeld V, Heimel M, Viebig C, Markl V (2015) The operator variant selection problem on heterogeneous hardware. In: ADMS, pp 1–12 Rosenfeld V, Heimel M, Viebig C, Markl V (2015) The operator variant selection problem on heterogeneous hardware. In: ADMS, pp 1–12
41.
go back to reference Sidhu RPS, Prasanna VK (2001) Fast regular expression matching using FPGAs. In: FCCM, pp 227–238 Sidhu RPS, Prasanna VK (2001) Fast regular expression matching using FPGAs. In: FCCM, pp 227–238
42.
go back to reference Sidler D, István Z, Owaida M, Alonso G (2017) Accelerating pattern matching queries in hybrid CPU-FPGA architectures. In: SIGMOD, pp 403–415CrossRef Sidler D, István Z, Owaida M, Alonso G (2017) Accelerating pattern matching queries in hybrid CPU-FPGA architectures. In: SIGMOD, pp 403–415CrossRef
43.
go back to reference Sitaridi E, Ross K (2013) Optimizing select conditions on GPUs. In: DaMoN, ACM. pp, vol 4, pp 1–4 Sitaridi E, Ross K (2013) Optimizing select conditions on GPUs. In: DaMoN, ACM. pp, vol 4, pp 1–4
44.
go back to reference Sukhwani B, Thoennes M, Min H, Dube P, Brezzo B, Asaad SW, Dillenberger D (2013) Large payload streaming database sort and projection on FPGAs. In: SBAC-PAD, pp 25–32 Sukhwani B, Thoennes M, Min H, Dube P, Brezzo B, Asaad SW, Dillenberger D (2013) Large payload streaming database sort and projection on FPGAs. In: SBAC-PAD, pp 25–32
45.
go back to reference Sukhwani B, Thoennes M, Min H, Dube P, Brezzo B, Asaad SW, Dillenberger D (2015) A hardware/software approach for database query acceleration with FPGAs. Int J Parallel Program 43(6):1129–1159CrossRef Sukhwani B, Thoennes M, Min H, Dube P, Brezzo B, Asaad SW, Dillenberger D (2015) A hardware/software approach for database query acceleration with FPGAs. Int J Parallel Program 43(6):1129–1159CrossRef
46.
go back to reference Ueda T, Ito M, Ohara M (2015) A dynamically reconfigurable equi-joiner on FPGA. IBM Technical Report RT0969 Ueda T, Ito M, Ohara M (2015) A dynamically reconfigurable equi-joiner on FPGA. IBM Technical Report RT0969
47.
go back to reference Ungethüm A, Habich D, Karnagel T, Lehner W, Asmussen N, Völp M, Noethen B, Fettweis GP (2015) Query processing on low-energy many-core processors. In: ICDE, pp 155–160CrossRef Ungethüm A, Habich D, Karnagel T, Lehner W, Asmussen N, Völp M, Noethen B, Fettweis GP (2015) Query processing on low-energy many-core processors. In: ICDE, pp 155–160CrossRef
48.
go back to reference Wang Z, Paul J, Cheah HY, He B, Zhang W (2016) Relational query processing on OpenCL-based FPGAs. In: FPL, pp 1–10 Wang Z, Paul J, Cheah HY, He B, Zhang W (2016) Relational query processing on OpenCL-based FPGAs. In: FPL, pp 1–10
49.
go back to reference Xu Z, Tu Y, Wang X (2012) PET: reducing database energy cost via query optimization. Proceedings VLDB Endowment 5(12):1954–1957CrossRef Xu Z, Tu Y, Wang X (2012) PET: reducing database energy cost via query optimization. Proceedings VLDB Endowment 5(12):1954–1957CrossRef
50.
go back to reference Yuan Y, Lee R, Zhang X (2013) The yin and yang of processing data warehousing queries on GPU devices. Proceedings VLDB Endowment 6(10):817–828CrossRef Yuan Y, Lee R, Zhang X (2013) The yin and yang of processing data warehousing queries on GPU devices. Proceedings VLDB Endowment 6(10):817–828CrossRef
51.
go back to reference Zhang S, He J, He B, Lu M (2013) OmniDB: Towards portable and efficient query processing on parallel CPU/GPU architectures. Proceedings VLDB Endowment 6(12):1374–1377CrossRef Zhang S, He J, He B, Lu M (2013) OmniDB: Towards portable and efficient query processing on parallel CPU/GPU architectures. Proceedings VLDB Endowment 6(12):1374–1377CrossRef
52.
go back to reference Ziener D, Bauer F, Becher A, Dennl C, Meyer-Wegener K, Schürfeld U, Teich J, Vogt J, Weber H (2016) FPGA-based dynamically reconfigurable SQL query processing. ACM Trans Reconfigurable Technol Syst 9(4):Article No. 25CrossRef Ziener D, Bauer F, Becher A, Dennl C, Meyer-Wegener K, Schürfeld U, Teich J, Vogt J, Weber H (2016) FPGA-based dynamically reconfigurable SQL query processing. ACM Trans Reconfigurable Technol Syst 9(4):Article No. 25CrossRef
Metadata
Title
Integration of FPGAs in Database Management Systems: Challenges and Opportunities
Authors
Andreas Becher
Lekshmi B.G.
David Broneske
Tobias Drewes
Bala Gurumurthy
Klaus Meyer-Wegener
Thilo Pionteck
Gunter Saake
Jürgen Teich
Stefan Wildermann
Publication date
10-09-2018
Publisher
Springer Berlin Heidelberg
Published in
Datenbank-Spektrum / Issue 3/2018
Print ISSN: 1618-2162
Electronic ISSN: 1610-1995
DOI
https://doi.org/10.1007/s13222-018-0294-9

Other articles of this Issue 3/2018

Datenbank-Spektrum 3/2018 Go to the issue

Dissertationen

Dissertationen

Editorial

Editorial

Premium Partner