Skip to main content
Top
Published in:
Cover of the book

2018 | OriginalPaper | Chapter

1. Introduction

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

It is now well known that the scaling of devices is approaching fundamental as well as economic limit. This is mainly because traditional optical lithography is facing substantial challenges for printing fine features while maintaining a reasonable cost. Alternative patterning approaches for next generation lithography have been actively studied. Examples include extreme ultraviolet lithography (EUVL), electron beam lithography (EBL), and nanoimprint lithography (NIL). However, all of them involve high cost or low throughput, and so are not yet practical solution. Directed self-assembly lithography (DSAL), the focus of this book, is a practical solution and is believed to be the most promising technique for contact and via patterns in technology node of 7 nm and below.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Footnotes
1
Practical limit of \(k_{1}\) is believed to be about 0.27 [2].
 
Literature
1.
go back to reference A. Wong, Resolution enhancement techniques in optical lithography. J. Quantum Electron. 47 (2001). (SPIE Press) A. Wong, Resolution enhancement techniques in optical lithography. J. Quantum Electron. 47 (2001). (SPIE Press)
2.
3.
go back to reference Y. Wang, T. Miyamatsu, T. Furukawa, K. Yamada, T. Tominaga, Y. Makita, H. Nakagawa, A. Nakamura, M. Shima, S. Kusumoto, T. Shimokawa, K. Hieda, High-refractive-index fluids for the next generation ArF immersion lithography, in Proceedings of the SPIE Advanced Lithography (2007), pp. 1–10 Y. Wang, T. Miyamatsu, T. Furukawa, K. Yamada, T. Tominaga, Y. Makita, H. Nakagawa, A. Nakamura, M. Shima, S. Kusumoto, T. Shimokawa, K. Hieda, High-refractive-index fluids for the next generation ArF immersion lithography, in Proceedings of the SPIE Advanced Lithography (2007), pp. 1–10
4.
go back to reference R.H. French, V. Liberman, H.V. Tran, J. Feldman, D.J. Adelman, R.C. Wheland, W. Qiu, S.J. McLain, O. Nagao, M. Kaku, M. Mocella, M.K. Yang, M.F. Lemon, L. Brubaker, A.L. Shoe, B. Fones, B.E. Fischel, K. Krohn, D. Hardy, C.Y. Chen, High-index immersion lithography with second-generation immersion fluids to enable numerical apertures of 1.55 for cost effective 32-nm half pitches, in Proceedings of the SPIE Advanced Lithography (2007), pp. 1–12 R.H. French, V. Liberman, H.V. Tran, J. Feldman, D.J. Adelman, R.C. Wheland, W. Qiu, S.J. McLain, O. Nagao, M. Kaku, M. Mocella, M.K. Yang, M.F. Lemon, L. Brubaker, A.L. Shoe, B. Fones, B.E. Fischel, K. Krohn, D. Hardy, C.Y. Chen, High-index immersion lithography with second-generation immersion fluids to enable numerical apertures of 1.55 for cost effective 32-nm half pitches, in Proceedings of the SPIE Advanced Lithography (2007), pp. 1–12
6.
go back to reference R.H. Stulen, D.W. Sweeney, Extreme ultraviolet lithography. J. Quantum Electron. 4(5), 694–699, (1999) R.H. Stulen, D.W. Sweeney, Extreme ultraviolet lithography. J. Quantum Electron. 4(5), 694–699, (1999)
7.
go back to reference B. Turkot, S.L. Carson, A, Lio, T. Liang, M. Phillips, B. McCool, E. Stenehjem, T. Crimmins, G. Zhang, S. Sivakumar, EUV progress toward HVM readiness, in Proceedings of the SPIE Advanced Lithography (2016), pp. 1–9 B. Turkot, S.L. Carson, A, Lio, T. Liang, M. Phillips, B. McCool, E. Stenehjem, T. Crimmins, G. Zhang, S. Sivakumar, EUV progress toward HVM readiness, in Proceedings of the SPIE Advanced Lithography (2016), pp. 1–9
8.
go back to reference C. Wagner, N. Harned, EUV lithography: lithography gets extreme. Nat. Photon. 4(1), 24–26 (2010)CrossRef C. Wagner, N. Harned, EUV lithography: lithography gets extreme. Nat. Photon. 4(1), 24–26 (2010)CrossRef
9.
go back to reference H.C. Pfeiffer, Direct write electron beam lithography: a historical overview, in Proceedings of the SPIE Advanced Lithography (2010), pp. 1–6 H.C. Pfeiffer, Direct write electron beam lithography: a historical overview, in Proceedings of the SPIE Advanced Lithography (2010), pp. 1–6
10.
go back to reference B.J. Lin, Future of multiple-e-beam direct-write systems. J. Micro/Nanolithogr. MEMS MOEMS 11(3), 1–6 (2012)CrossRef B.J. Lin, Future of multiple-e-beam direct-write systems. J. Micro/Nanolithogr. MEMS MOEMS 11(3), 1–6 (2012)CrossRef
11.
go back to reference W.H. Cheng, J. Farnsworth, Fundamental limit of ebeam lithography, in Proceedings of the SPIE Advanced Lithography (2007), pp. 1–8 W.H. Cheng, J. Farnsworth, Fundamental limit of ebeam lithography, in Proceedings of the SPIE Advanced Lithography (2007), pp. 1–8
12.
go back to reference R.F. Pease, S.T. Chou, Lithography and other patterning techniques for future electronics. Proc. IEEE 96(2), 248–270 (2008)CrossRef R.F. Pease, S.T. Chou, Lithography and other patterning techniques for future electronics. Proc. IEEE 96(2), 248–270 (2008)CrossRef
13.
go back to reference I. Yoneda, S. Mikami, T. Ota, T. Koshiba, M. Ito, T. Nakasugi, T. Higashiki, Study of nanoimprint lithography for applications toward 22nm node CMOS devices, in Proceedings of the SPIE Advanced Lithography (2008), pp. 1–8 I. Yoneda, S. Mikami, T. Ota, T. Koshiba, M. Ito, T. Nakasugi, T. Higashiki, Study of nanoimprint lithography for applications toward 22nm node CMOS devices, in Proceedings of the SPIE Advanced Lithography (2008), pp. 1–8
14.
go back to reference K. Ichimura, K. Yoshida, S. Harada, T. Nagai, M. Kurihara, H. Hayashi, HVM readiness of nanoimprint lithography templates: defects, CD, and overlay, in Proceedings of the SPIE Advanced Lithography (2015), pp. 1–5 K. Ichimura, K. Yoshida, S. Harada, T. Nagai, M. Kurihara, H. Hayashi, HVM readiness of nanoimprint lithography templates: defects, CD, and overlay, in Proceedings of the SPIE Advanced Lithography (2015), pp. 1–5
15.
go back to reference Y. Ootera, K. Sugawara, M. Kanamaru, R. Yamamoto, Y. Kawamonzen, N. Kihara, Y. Kamata, A. Kikitsu, Nanoimprint lithography of 20-nm-pitch dot array pattern using tone reversal process. Jpn. J. Appl. Phys. 52(10R), 105201 (2013)CrossRef Y. Ootera, K. Sugawara, M. Kanamaru, R. Yamamoto, Y. Kawamonzen, N. Kihara, Y. Kamata, A. Kikitsu, Nanoimprint lithography of 20-nm-pitch dot array pattern using tone reversal process. Jpn. J. Appl. Phys. 52(10R), 105201 (2013)CrossRef
16.
go back to reference M. Muramatsu, M. Iwashita, T. Kitano, T. Toshima, M. Somervell, Y. Seino, D. Kawamura, M. Kanno, K. Kobayashi, T. Azuma, Nanopatterning of diblock copolymer directed self-assembly lithography with wet development. J. Micro/Nanolithogr. MEMS MOEMS 11(3), 1–6 (2012)CrossRef M. Muramatsu, M. Iwashita, T. Kitano, T. Toshima, M. Somervell, Y. Seino, D. Kawamura, M. Kanno, K. Kobayashi, T. Azuma, Nanopatterning of diblock copolymer directed self-assembly lithography with wet development. J. Micro/Nanolithogr. MEMS MOEMS 11(3), 1–6 (2012)CrossRef
17.
go back to reference H. Yi, Y. Bao, J. Zhang, C. Bencher, L. Chang, X. Chen, R. Tiberio, J. Conway, H. Dai, Y. Chen, S. Mitra, H.-S.P. Wong, Flexible control of block copolymer directed self-assembly using small, topographical templates: potential lithography solution for integrated circuit contact hole patterning. Adv. Mat. 14(23), 3107–3114 (2012)CrossRef H. Yi, Y. Bao, J. Zhang, C. Bencher, L. Chang, X. Chen, R. Tiberio, J. Conway, H. Dai, Y. Chen, S. Mitra, H.-S.P. Wong, Flexible control of block copolymer directed self-assembly using small, topographical templates: potential lithography solution for integrated circuit contact hole patterning. Adv. Mat. 14(23), 3107–3114 (2012)CrossRef
Metadata
Title
Introduction
Authors
Seongbo Shim
Youngsoo Shin
Copyright Year
2018
DOI
https://doi.org/10.1007/978-3-319-76294-4_1

Premium Partners