Skip to main content
Top
Published in: The Journal of Supercomputing 8/2019

29-05-2018

Multi-objective algorithms for the application mapping problem in heterogeneous multiprocessor embedded system design

Authors: Sima Sinaei, Omid Fatemi

Published in: The Journal of Supercomputing | Issue 8/2019

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Design at the Electronic System-Level tackles the increasing complexity of embedded systems by raising the level of abstraction in system specification and modeling. Two important steps in this process are evaluation of a single design configuration and design space exploration. The exponential size of the design space, along with the complex task of simulating a single design point, makes it impossible to explore the design space efficiently in almost all MPSoC design situations. In order to overcome this problem, one or both of the main steps of the design process (i.e., simulation and exploration) must be accelerated. In this paper, for the first part of the design process, high-level analytical models for application mapping and evaluation are presented in order to accelerate the evaluation of a single design configuration. In the second part of the design process, two multi-objective optimization algorithms that are based on particle swarm optimization and simulated annealing have been proposed for performing design space exploration. Considering multimedia applications as case studies, each of these methods produces a set of near-optimal points. Simulation results show that the proposed methods can lead to near-optimal design configurations with acceptable accuracy in a reasonable time.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Ascia G, Catania V, Di Nuovo AG et al (2007) Eficient design space exploration for application specific systems-on-a-chip. J Syst Archit 53(9):733–750CrossRef Ascia G, Catania V, Di Nuovo AG et al (2007) Eficient design space exploration for application specific systems-on-a-chip. J Syst Archit 53(9):733–750CrossRef
2.
go back to reference Bhattacharyya SS et al (2013) Handbook of signal processing systems. Springer, BerlinCrossRef Bhattacharyya SS et al (2013) Handbook of signal processing systems. Springer, BerlinCrossRef
3.
go back to reference Bowman VJ, Thieriez H, Zionts S (1976) On the relationship of the Tchebycheff norm and the efficient frontier of multiple-criteria objectives. In: Multiple Criteria Decision Making, pp 76–85 Bowman VJ, Thieriez H, Zionts S (1976) On the relationship of the Tchebycheff norm and the efficient frontier of multiple-criteria objectives. In: Multiple Criteria Decision Making, pp 76–85
4.
go back to reference Branca M, Camerini L, Ferrandi F et al. (2009) Evolutionary algorithms for the mapping of pipelined applications onto heterogeneous embedded systems. In: Proceedings of the Annual Conference on Genetic and Evolutionary Computation, pp 1435–1442 Branca M, Camerini L, Ferrandi F et al. (2009) Evolutionary algorithms for the mapping of pipelined applications onto heterogeneous embedded systems. In: Proceedings of the Annual Conference on Genetic and Evolutionary Computation, pp 1435–1442
5.
go back to reference Catania V, Palesi M (2006) A multi-objective genetic approach to mapping problem on network-on-chip. In: Proceedings of the International Conference on JUCS, p 22 Catania V, Palesi M (2006) A multi-objective genetic approach to mapping problem on network-on-chip. In: Proceedings of the International Conference on JUCS, p 22
6.
go back to reference Chen G, Li F, Son S et al ( 2008) Application mapping for chip multiprocessors. In: Proceedings of ACM/IEEE Design Automation Conference, pp 620–625 Chen G, Li F, Son S et al ( 2008) Application mapping for chip multiprocessors. In: Proceedings of ACM/IEEE Design Automation Conference, pp 620–625
7.
go back to reference Emmerich MTM, Giannakoglou K, Naujoks B (2006) Single- and multi-objective evolutionary optimization assisted by Gaussian random field metamodels. IEEE Trans Evol Comput 10:421–439CrossRef Emmerich MTM, Giannakoglou K, Naujoks B (2006) Single- and multi-objective evolutionary optimization assisted by Gaussian random field metamodels. IEEE Trans Evol Comput 10:421–439CrossRef
8.
go back to reference Erbas C (2011) System-level modeling and design space exploration for multiprocessor embedded system-on-chip architectures. Ph.D. thesis, Department of Computer Science, University of Amsterdam, Amsterdam, The Netherlands Erbas C (2011) System-level modeling and design space exploration for multiprocessor embedded system-on-chip architectures. Ph.D. thesis, Department of Computer Science, University of Amsterdam, Amsterdam, The Netherlands
10.
go back to reference Gries AM (2004) Methods for evaluating and covering the design space during early design development. Integr VSLI J 38(2):131–183CrossRef Gries AM (2004) Methods for evaluating and covering the design space during early design development. Integr VSLI J 38(2):131–183CrossRef
11.
go back to reference Kahn G (1974) The semantics of a simple language for parallel programming. In: Proceedings of the IFIP Congress, p 74 Kahn G (1974) The semantics of a simple language for parallel programming. In: Proceedings of the IFIP Congress, p 74
12.
go back to reference Kennedy J, Eberhart RC (1995) Particle swarm optimization. In: Proceedings of IEEE International Conference on Neural Networks, vol 4, no 2, pp 1942–1948 Kennedy J, Eberhart RC (1995) Particle swarm optimization. In: Proceedings of IEEE International Conference on Neural Networks, vol 4, no 2, pp 1942–1948
13.
go back to reference Kienhuis ACJ (1999) Design space exploration of stream-based dataflow architectures: methods and tools. Ph.D. dissertation, Delf University of Technology, Delft, Netherlands, January Kienhuis ACJ (1999) Design space exploration of stream-based dataflow architectures: methods and tools. Ph.D. dissertation, Delf University of Technology, Delft, Netherlands, January
14.
go back to reference Knowles J (2006) A hybrid algorithm with on-line landscape approximation for expensive multiobjective optimization problems. IEEE Trans Evol Comput 10(1):127–132CrossRef Knowles J (2006) A hybrid algorithm with on-line landscape approximation for expensive multiobjective optimization problems. IEEE Trans Evol Comput 10(1):127–132CrossRef
15.
go back to reference Lai MC, Gao L, Wang Z (2010) Exploration and implementation of a highly efficient processor element for multimedia and signal processing domains. IET Comput Digit Tech 4(5):374–387CrossRef Lai MC, Gao L, Wang Z (2010) Exploration and implementation of a highly efficient processor element for multimedia and signal processing domains. IET Comput Digit Tech 4(5):374–387CrossRef
16.
go back to reference Lukasiewycz M, Glab M, Haubelt C et al (2008) Efficient symbolic multi-objective design space exploration. In: Proceedings of Asia and South Pacific Design Automation Conference, USA, pp 691–696 Lukasiewycz M, Glab M, Haubelt C et al (2008) Efficient symbolic multi-objective design space exploration. In: Proceedings of Asia and South Pacific Design Automation Conference, USA, pp 691–696
17.
go back to reference Mariani G, Brankovic A, Palermo G et al (2010) A correlation-based design space exploration methodology for multi-processor systems-on-chip. In: Proceedings of 47th Design Automation Conference (DAC), pp 120–125 Mariani G, Brankovic A, Palermo G et al (2010) A correlation-based design space exploration methodology for multi-processor systems-on-chip. In: Proceedings of 47th Design Automation Conference (DAC), pp 120–125
18.
go back to reference Metropolis N, Rosenbluth A, Teller A, Teller E (1953) Optimization by simulated annealing. Equation of state calculation by fast computing machines. J Chem Phys 21(1953):1087–1092CrossRef Metropolis N, Rosenbluth A, Teller A, Teller E (1953) Optimization by simulated annealing. Equation of state calculation by fast computing machines. J Chem Phys 21(1953):1087–1092CrossRef
19.
go back to reference Nam D, Park C (2000) Multiobjective simulated annealing: a comparative study to evolutionary algorithms. Int J Fuzzy Syst 2(2):87–97 Nam D, Park C (2000) Multiobjective simulated annealing: a comparative study to evolutionary algorithms. Int J Fuzzy Syst 2(2):87–97
20.
go back to reference Orsila H, Salminen E, Hamalainen TD (2009) Parameterizing simulated annealing for distributing Kahn process networks on multiprocessor socs. In: Proceedings of the International Conference on System-on-Chip, pp 19–26 Orsila H, Salminen E, Hamalainen TD (2009) Parameterizing simulated annealing for distributing Kahn process networks on multiprocessor socs. In: Proceedings of the International Conference on System-on-Chip, pp 19–26
21.
go back to reference Palermo G, Silvano C, Zaccaria V (2009) ReSPIR: a response surface-based Pareto iterative refinement for application-specific design space exploration. Trans Comput Aided Des Integr Circuit Syst 28(12):1816–1829CrossRef Palermo G, Silvano C, Zaccaria V (2009) ReSPIR: a response surface-based Pareto iterative refinement for application-specific design space exploration. Trans Comput Aided Des Integr Circuit Syst 28(12):1816–1829CrossRef
22.
go back to reference Pimentel AD, Thompson M, Polstra S et al (2008) Calibration of abstract performance models for system-level design space exploration. J Signal Process Syst 50(2):99–114CrossRef Pimentel AD, Thompson M, Polstra S et al (2008) Calibration of abstract performance models for system-level design space exploration. J Signal Process Syst 50(2):99–114CrossRef
23.
go back to reference Quan W, Pimentel A (2013) An iterative multi-application mapping algorithm for heterogeneous MPSoCs. In: Embedded Systems for Real-Time Multimedia (ESTIMedia), pp 115–124 Quan W, Pimentel A (2013) An iterative multi-application mapping algorithm for heterogeneous MPSoCs. In: Embedded Systems for Real-Time Multimedia (ESTIMedia), pp 115–124
24.
go back to reference Reyes-Siria M, Coello Coello CA (2006) Multi-objective particle swarm optimizers: a survey of the state-of-the-art. Int J Comput Intell 2(3):287–308MathSciNet Reyes-Siria M, Coello Coello CA (2006) Multi-objective particle swarm optimizers: a survey of the state-of-the-art. Int J Comput Intell 2(3):287–308MathSciNet
25.
go back to reference Satish N, Ravindran K, Keutzer K (2007) A decomposition-based constraint optimization approach for statically scheduling task graphs with communication delays to multiprocessors. In: Proceedings of the International Conference on Design, Automation and Test in Europe, pp 57–62 Satish N, Ravindran K, Keutzer K (2007) A decomposition-based constraint optimization approach for statically scheduling task graphs with communication delays to multiprocessors. In: Proceedings of the International Conference on Design, Automation and Test in Europe, pp 57–62
26.
go back to reference Schafer BC, Wakabayashi K (2012) Machine learning predictive modelling high-level synthesis design space exploration. IET Comput Digit Tech 6(3):153–159CrossRef Schafer BC, Wakabayashi K (2012) Machine learning predictive modelling high-level synthesis design space exploration. IET Comput Digit Tech 6(3):153–159CrossRef
27.
go back to reference Shi Y, Eberhart R (1998) A modified particle swarm optimizer. In: Evolutionary Computation Proceedings, pp 69–73 Shi Y, Eberhart R (1998) A modified particle swarm optimizer. In: Evolutionary Computation Proceedings, pp 69–73
28.
go back to reference Sinaei S, Fatemi O (2016) Novel heuristic multi-objective algorithms for mapping and design space exploration of heterogeneous multiprocessor embedded architectures. In: Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP), pp 801–804 Sinaei S, Fatemi O (2016) Novel heuristic multi-objective algorithms for mapping and design space exploration of heterogeneous multiprocessor embedded architectures. In: Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP), pp 801–804
29.
go back to reference Singh AK, Shafique M, Kumar A et al (2013) Mapping on multi/many-core systems: survey of current and emerging trends. In: Proceedings of 50th Annual Design Automation Conference (DAC13), p 1 Singh AK, Shafique M, Kumar A et al (2013) Mapping on multi/many-core systems: survey of current and emerging trends. In: Proceedings of 50th Annual Design Automation Conference (DAC13), p 1
30.
go back to reference Steuer RE (1986) Multiple criteria optimization: theory, computation and application. Wiley, New YorkMATH Steuer RE (1986) Multiple criteria optimization: theory, computation and application. Wiley, New YorkMATH
31.
go back to reference Thiele L, Bacivarov I, Haid W et al (2010) Mapping applications to tiled multiprocessor embedded systems. In: Proceedings of the International Conference on Application of Concurrency to System Design, pp 29–40 Thiele L, Bacivarov I, Haid W et al (2010) Mapping applications to tiled multiprocessor embedded systems. In: Proceedings of the International Conference on Application of Concurrency to System Design, pp 29–40
32.
go back to reference Zitzler E, Thiele L (1998) Multiobjective optimization using evolutionary algorithms—a comparative case study. In: Proceedings of the International Conference on Parallel Problem Solving from Nature Zitzler E, Thiele L (1998) Multiobjective optimization using evolutionary algorithms—a comparative case study. In: Proceedings of the International Conference on Parallel Problem Solving from Nature
Metadata
Title
Multi-objective algorithms for the application mapping problem in heterogeneous multiprocessor embedded system design
Authors
Sima Sinaei
Omid Fatemi
Publication date
29-05-2018
Publisher
Springer US
Published in
The Journal of Supercomputing / Issue 8/2019
Print ISSN: 0920-8542
Electronic ISSN: 1573-0484
DOI
https://doi.org/10.1007/s11227-018-2442-2

Other articles of this Issue 8/2019

The Journal of Supercomputing 8/2019 Go to the issue

Premium Partner