Skip to main content
Top

2012 | OriginalPaper | Chapter

9. Nutzung von klassischen IP-Blöcken in 3D-Schaltkreisen

Author : Johann Knechtel

Published in: Entwurf integrierter 3D-Systeme der Elektronik

Publisher: Springer Berlin Heidelberg

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Zusammenfassung

Dreidimensional integrierte Schaltkreise (3D-ICs) versprechen eine signifikante Steigerung der Funktionalität durch hohe Integrationsdichten, reduzierte Formfaktoren, sowie heterogene Integration. Bisher jedoch bleibt die kommerzielle Anwendung von 3D-ICs deutlich hinter den Erwartungen zurück. Neben verschiedenen technologischen Problemen wird vor allem die Schwierigkeit der Wiederverwendung bewährter 2D-Intellectual-Property (IP)-Blöcke als Hindernis genannt. Deren optimiertes Layout behindert den Einsatz der obligatorischen Verbindungsstrukturen, den Through-Silicon Vias (TSVs). Jedoch ist die Verwendung von 2D-IP-Blöcken für einen stark heterogenen 3D-IC mit verschiedenen Herstellungstechnologien für Analog-, Digital- oder Speicherkomponenten zwingend erforderlich.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Footnotes
1
Im Falle des Auftretens von Prozessvariationen innerhalb eines aktiven Layer schalten alle Transistoren schneller oder langsamer, eventuell zu unterschiedlichem Ausmaß. Damit stellen die Variationen ein Problem zweiter Ordnung dar. Jedoch können bei der Zusammenführung mehrerer aktiver Layer die einzelnen Variationen sich in gegensätzlichen Effekten bemerkbar machen – es entsteht also ein Problem erster Ordnung.
 
2
Sowohl Kupfer als auch Tungsten werden für die TSV-Herstellung verwendet. Gegenwärtig ist Kupfer gebräuchlicher, erfordert jedoch dickere TSVs aufgrund der schlechteren mechanischen Eigenschaften (Druckbeanspruchungsgrenzen von 600 MPa [JMPL11]).
 
Literature
[AM02]
go back to reference Adya, S.N., Markov, I.L.: Consistent placement of macro-blocks using floorplanning and standard-cell placement. Proc. Int. Symp. Phys. Des. 12–17 (2002) Adya, S.N., Markov, I.L.: Consistent placement of macro-blocks using floorplanning and standard-cell placement. Proc. Int. Symp. Phys. Des. 12–17 (2002)
[Bor11]
go back to reference Borkar, S.: 3D integration for energy efficient system design. Proc. Des. Autom. Conf. 214–219 (2011) Borkar, S.: 3D integration for energy efficient system design. Proc. Des. Autom. Conf. 214–219 (2011)
[CM10]
go back to reference Cong, J., Ma, Y.: Thermal-Aware 3D Floorplan. Springer US, (Integrated Circuits and Systems), 63–102 (Kap. 4) (2010) Cong, J., Ma, Y.: Thermal-Aware 3D Floorplan. Springer US, (Integrated Circuits and Systems), 63–102 (Kap. 4) (2010)
[CWZ04]
go back to reference Cong, J., Wei, J., Zhang, Y.: A thermal-driven floorplanning algorithm for 3D ICs. Proc. Int. Conf. Comput.-Aided Des. 306–313 (2004) Cong, J., Wei, J., Zhang, Y.: A thermal-driven floorplanning algorithm for 3D ICs. Proc. Int. Conf. Comput.-Aided Des. 306–313 (2004)
[FLM09]
go back to reference Fischbach, R., Lienig, J., Meister, T.: From 3D circuit technologies and data structures to interconnect prediction. In: Proc. Int. Workshop Sys.-Level Interconn. Pred. 77–84 (2009) Fischbach, R., Lienig, J., Meister, T.: From 3D circuit technologies and data structures to interconnect prediction. In: Proc. Int. Workshop Sys.-Level Interconn. Pred. 77–84 (2009)
[FPT81]
go back to reference Fowler, R.J., Paterson, M.S., Tanimoto, S.L.: Optimal packing and covering in the plane are NP-complete. In: Inf. Proc. Lett. 12 (3), 133–137 (1981)MathSciNetMATHCrossRef Fowler, R.J., Paterson, M.S., Tanimoto, S.L.: Optimal packing and covering in the plane are NP-complete. In: Inf. Proc. Lett. 12 (3), 133–137 (1981)MathSciNetMATHCrossRef
[FRB07]
go back to reference Ferri, C., Reda, S., Bahar, R.I.: Strategies for improving the parametric yield and profits of 3D ICs. In: Proc. Int. Conf. Comput.-Aided Des. 220–226 (2007) Ferri, C., Reda, S., Bahar, R.I.: Strategies for improving the parametric yield and profits of 3D ICs. In: Proc. Int. Conf. Comput.-Aided Des. 220–226 (2007)
[GM09]
go back to reference Garg, S.; Marculescu, D.: 3D-GCP: An analytical model for the impact of process variations on the critical path delay distribution of 3D ICs. Proc. Int. Symp. Quality Elec. Des. 147–155 (2009) Garg, S.; Marculescu, D.: 3D-GCP: An analytical model for the impact of process variations on the critical path delay distribution of 3D ICs. Proc. Int. Symp. Quality Elec. Des. 147–155 (2009)
[HAG+10]
go back to reference Healy, M.B., Athikulwongse, K., Goel, R., Hossain, M.M., Kim, D.H., Lee, Y.-J., Lewis, D.L., Lin, T.-W., Liu, C., Jung, M., Ouellette, B., Pathak, M., Sane, H., Shen, G., Woo, D.H., Zhao, X., Loh, G.H., Lee, H.S., Lim, S.K.: Design and analysis of 3D-MAPS: A many-core 3D processor with stacked memory. Proc. Cust. Integr. Circ. Conf. 1–4 (2010) Healy, M.B., Athikulwongse, K., Goel, R., Hossain, M.M., Kim, D.H., Lee, Y.-J., Lewis, D.L., Lin, T.-W., Liu, C., Jung, M., Ouellette, B., Pathak, M., Sane, H., Shen, G., Woo, D.H., Zhao, X., Loh, G.H., Lee, H.S., Lim, S.K.: Design and analysis of 3D-MAPS: A many-core 3D processor with stacked memory. Proc. Cust. Integr. Circ. Conf. 1–4 (2010)
[HHC+10]
go back to reference Hsieh, A-C., Hwang, T.T., Chang, M-T., Tsai, M.-H., Tseng, C-M., Li, H-C.: TSV redundancy: Architecture and design issues in 3D IC. Proc. Des. Autom. Test Europe, 166–171 (2010) Hsieh, A-C., Hwang, T.T., Chang, M-T., Tsai, M.-H., Tseng, C-M., Li, H-C.: TSV redundancy: Architecture and design issues in 3D IC. Proc. Des. Autom. Test Europe, 166–171 (2010)
[IA83]
go back to reference Imai, H., Asano, T.: Finding the connected components and a maximum clique of an intersection graph of rectangles in the plane. J. Algorith. 4(4), 310–323 (1983)MathSciNetMATHCrossRef Imai, H., Asano, T.: Finding the connected components and a maximum clique of an intersection graph of rectangles in the plane. J. Algorith. 4(4), 310–323 (1983)MathSciNetMATHCrossRef
[JMPL11]
go back to reference Jung, M., Mitra, J., Pan, D.Z., Lim, S.K.: TSV Stress-aware full-chip mechanical reliability analysis and optimization for 3D IC. Proc. Des. Autom. Conf.188–193 (2011) Jung, M., Mitra, J., Pan, D.Z., Lim, S.K.: TSV Stress-aware full-chip mechanical reliability analysis and optimization for 3D IC. Proc. Des. Autom. Conf.188–193 (2011)
[JXCM09]
go back to reference Jiang, L., Xu, Q., Chakrabarty, K., Mak, T. M.: Layout-driven test-architecture design and optimization for 3D SoCs under pre-bond test-pin-count constraint. Proc. Int. Conf. Comput.-Aided Des. 191–196 (2009) Jiang, L., Xu, Q., Chakrabarty, K., Mak, T. M.: Layout-driven test-architecture design and optimization for 3D SoCs under pre-bond test-pin-count constraint. Proc. Int. Conf. Comput.-Aided Des. 191–196 (2009)
[KAL09]
go back to reference Kim, D.H., Athikulwongse, K., Lim, S.K.: A study of through-silicon-via impact on the 3D stacked IC layout. In: Proc. Int. Conf. Comput.-Aided Des. 674–680 (2009) Kim, D.H., Athikulwongse, K., Lim, S.K.: A study of through-silicon-via impact on the 3D stacked IC layout. In: Proc. Int. Conf. Comput.-Aided Des. 674–680 (2009)
[KLMH11]
go back to reference Kahng, A.B., Lienig, J., Markov, I.L., Hu, J.: VLSI physical design: from graph partitioning to timing closure. Springer (2011) Kahng, A.B., Lienig, J., Markov, I.L., Hu, J.: VLSI physical design: from graph partitioning to timing closure. Springer (2011)
[KML09a]
go back to reference Kim, D.H., Mukhopadhyay, S., Lim, S.K.: TSV-aware interconnect length and power prediction for 3D stacked ICs. In: Proc. Int. Interconn. Technol. Conf. 26–28 (2009) Kim, D.H., Mukhopadhyay, S., Lim, S.K.: TSV-aware interconnect length and power prediction for 3D stacked ICs. In: Proc. Int. Interconn. Technol. Conf. 26–28 (2009)
[KML09b]
go back to reference Kim, D.H., Mukhopadhyay, S., Lim, S.K.: Through-silicon-via aware interconnect prediction and optimization for 3D stacked ICs. Proc. Int. Workshop Sys.-Level Interconn. Pred. 85–92 (2009) Kim, D.H., Mukhopadhyay, S., Lim, S.K.: Through-silicon-via aware interconnect prediction and optimization for 3D stacked ICs. Proc. Int. Workshop Sys.-Level Interconn. Pred. 85–92 (2009)
[LC09]
go back to reference Lee, H-H.S., Chakrabarty, K.: Test challenges for 3D integrated circuits. Des. Test Comput. 26 (5), 26–35 (2009)CrossRef Lee, H-H.S., Chakrabarty, K.: Test challenges for 3D integrated circuits. Des. Test Comput. 26 (5), 26–35 (2009)CrossRef
[LGL09]
go back to reference Lee, Y-J., Goel, R., Lim, S.K.: Multi-functional interconnect co-optimization for fast and reliable 3D stacked ICs. Proc. Int. Conf. Comput.-Aided Des. 645–651 (2009) Lee, Y-J., Goel, R., Lim, S.K.: Multi-functional interconnect co-optimization for fast and reliable 3D stacked ICs. Proc. Int. Conf. Comput.-Aided Des. 645–651 (2009)
[LHZ+06a]
go back to reference Li, Z., Hong, X., Zhou, Q., Zeng, S., Bian, J., Yang, H., Pitchumani, V., Cheng, C-K.: Integrating dynamic thermal via planning with 3D floorplanning algorithm. Proc. Int. Symp. Phys. Des. 178–185 (2006) Li, Z., Hong, X., Zhou, Q., Zeng, S., Bian, J., Yang, H., Pitchumani, V., Cheng, C-K.: Integrating dynamic thermal via planning with 3D floorplanning algorithm. Proc. Int. Symp. Phys. Des. 178–185 (2006)
[LHZ+06b]
go back to reference Li, Z., Hong, X., Zhou, Q., Bian, J., Yang, H.H., Pitchumani, V.: Efficient thermal-oriented 3D floorplanning and thermal via planning for two-stacked-die integration. Trans. Des. Autom. Elec. Sys. 11(2), 325–345 (2006)CrossRef Li, Z., Hong, X., Zhou, Q., Bian, J., Yang, H.H., Pitchumani, V.: Efficient thermal-oriented 3D floorplanning and thermal via planning for two-stacked-die integration. Trans. Des. Autom. Elec. Sys. 11(2), 325–345 (2006)CrossRef
[Lim10]
go back to reference Lim, S.K.: 3D circuit design with through-silicon-via: challenges and opportunities. Proc. Elec. Des. Process Sym. Workshop (2010) Lim, S.K.: 3D circuit design with through-silicon-via: challenges and opportunities. Proc. Elec. Des. Process Sym. Workshop (2010)
[LL09]
go back to reference Lewis, D.L., Lee, H-H.S.: Test strategies for 3D die stacked integrated circuits. Proc. Des. Autom. Test Europe 3D Workshop (2009) Lewis, D.L., Lee, H-H.S.: Test strategies for 3D die stacked integrated circuits. Proc. Des. Autom. Test Europe 3D Workshop (2009)
[LMH+08]
go back to reference Li, X., Ma, Y., Hong, X., Dong, S., Cong, J.: LP based white space redistribution for thermal via planning and performance optimization in 3D ICs. Proc. Asia South Pacific Des. Autom. Conf. 209–212 (2008) Li, X., Ma, Y., Hong, X., Dong, S., Cong, J.: LP based white space redistribution for thermal via planning and performance optimization in 3D ICs. Proc. Asia South Pacific Des. Autom. Conf. 209–212 (2008)
[LMH09]
go back to reference Li, X., Ma, Y., Hong, X.: A novel thermal optimization flow using incremental floorplanning for 3D ICs. Proc. Asia South Pacific Des. Autom. Conf. 347–352 (2009) Li, X., Ma, Y., Hong, X.: A novel thermal optimization flow using incremental floorplanning for 3D ICs. Proc. Asia South Pacific Des. Autom. Conf. 347–352 (2009)
[LML+08]
go back to reference Loi, I., Mitra, S., Lee, T.H., Fujita, S., Benini, L.: A low-overhead fault tolerance scheme for TSV-based 3D network on chip links. Proc. Int. Conf. Comput-Aided Des. 598–602 (2008) Loi, I., Mitra, S., Lee, T.H., Fujita, S., Benini, L.: A low-overhead fault tolerance scheme for TSV-based 3D network on chip links. Proc. Int. Conf. Comput-Aided Des. 598–602 (2008)
[LXB07]
go back to reference Loh, G.H., Xie, Y., Black, B.: Processor design in 3D die-stacking technologies. Micro 27 31–48 (2007) Loh, G.H., Xie, Y., Black, B.: Processor design in 3D die-stacking technologies. Micro 27 31–48 (2007)
[LZR+09]
go back to reference Lu, K.H., Zhang, X., Ryu, S-K., Im, J., Huang, R., Ho, P.S.: Thermo-mechanical reliability of 3-D ICs containing through silicon vias. Proc. Elec. Compon. Technol. Conf. 630–634 (2009) Lu, K.H., Zhang, X., Ryu, S-K., Im, J., Huang, R., Ho, P.S.: Thermo-mechanical reliability of 3-D ICs containing through silicon vias. Proc. Elec. Compon. Technol. Conf. 630–634 (2009)
[MRMP08]
go back to reference Moffitt, M.D., Roy, J.A., Markov, I.L., Pollack, M.E.: Constraint-driven floorplan repair. Trans. Des. Autom. Elec. Sys. 13 67:1–67:13(2008)CrossRef Moffitt, M.D., Roy, J.A., Markov, I.L., Pollack, M.E.: Constraint-driven floorplan repair. Trans. Des. Autom. Elec. Sys. 13 67:1–67:13(2008)CrossRef
[NMS11]
go back to reference Nandakumar, V.S., Marek-Sadowska, M.: Layout effects in fine-grain 3-D integrated regular microprocessor blocks. Proc. Des. Autom. Conf. 639–644 (2011) Nandakumar, V.S., Marek-Sadowska, M.: Layout effects in fine-grain 3-D integrated regular microprocessor blocks. Proc. Des. Autom. Conf. 639–644 (2011)
[PS98]
go back to reference Papadimitriou, C.H., Steiglitz, K.: Combinatorial optimization: algorithms and complexity. Dover (1998) Papadimitriou, C.H., Steiglitz, K.: Combinatorial optimization: algorithms and complexity. Dover (1998)
[Sch07]
go back to reference Scheffer, L.K.: CAD implications of new interconnect technologies. Proc. Des. Autom. Conf. 576–581 (2007) Scheffer, L.K.: CAD implications of new interconnect technologies. Proc. Des. Autom. Conf. 576–581 (2007)
[SK00]
go back to reference Sylvester, D., Keutzer, K.: A global wiring paradigm for deep submicron design. Trans. Comput.-Aided Des. Integr. Circuits Sys. 19(2), 242–252 (2000) Sylvester, D., Keutzer, K.: A global wiring paradigm for deep submicron design. Trans. Comput.-Aided Des. Integr. Circuits Sys. 19(2), 242–252 (2000)
[TWH11]
go back to reference Tsai, M-C., Wang, T-C., Hwang, T.T.: Through-silicon via planning in 3-D floorplanning. Trans. Very Large Scale Integr. Syst. 19(8), 1448–1457 (2011)CrossRef Tsai, M-C., Wang, T-C., Hwang, T.T.: Through-silicon via planning in 3-D floorplanning. Trans. Very Large Scale Integr. Syst. 19(8), 1448–1457 (2011)CrossRef
[WL07]
go back to reference Wong, E., Lim, S.K.: Whitespace redistribution for thermal via insertion in 3D stacked ICs. Proc. Int. Conf. Comput.-Aided Des. 267–272 (2007) Wong, E., Lim, S.K.: Whitespace redistribution for thermal via insertion in 3D stacked ICs. Proc. Int. Conf. Comput.-Aided Des. 267–272 (2007)
[YAL+10]
go back to reference Yang, J-S., Athikulwongse, K., Lee, Y-J., Lim, S.K., Pan, D.Z.: TSV stress aware timing analysis with applications to 3D-IC layout optimization. Proc. Des. Autom. Conf. 803–806 (2010) Yang, J-S., Athikulwongse, K., Lee, Y-J., Lim, S.K., Pan, D.Z.: TSV stress aware timing analysis with applications to 3D-IC layout optimization. Proc. Des. Autom. Conf. 803–806 (2010)
[ZML+07]
go back to reference Zhou, P., Ma, Y., Li, Z., Dick, R.P., Shang, L., Zhou, H., Hong, X., Zhou, Q.: 3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits. Proc. Int. Conf. Comput.-Aided Des. 590–597 (2007) Zhou, P., Ma, Y., Li, Z., Dick, R.P., Shang, L., Zhou, H., Hong, X., Zhou, Q.: 3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits. Proc. Int. Conf. Comput.-Aided Des. 590–597 (2007)
[ZML11]
go back to reference Zhao, X., Minz, J., Lim, S.K.: Low-power and reliable clock network design for through-silicon via (TSV) based 3D ICs. Trans. Compon., Packag., Manuf. Technol. 1(2), 247–259 (2011)CrossRef Zhao, X., Minz, J., Lim, S.K.: Low-power and reliable clock network design for through-silicon via (TSV) based 3D ICs. Trans. Compon., Packag., Manuf. Technol. 1(2), 247–259 (2011)CrossRef
Metadata
Title
Nutzung von klassischen IP-Blöcken in 3D-Schaltkreisen
Author
Johann Knechtel
Copyright Year
2012
Publisher
Springer Berlin Heidelberg
DOI
https://doi.org/10.1007/978-3-642-30572-6_9