Skip to main content
Top

2017 | OriginalPaper | Chapter

13. Obfuscation and Encryption for Securing Semiconductor Supply Chain

Authors : Ujjwal Guin, Mark M. Tehranipoor

Published in: Hardware Protection through Obfuscation

Publisher: Springer International Publishing

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

With the advent of globalization, the semiconductor industry has become increasingly vulnerable to the piracy of semiconductor intellectual property (IP), the overuse of IPs in system-on-chips (SoCs), and the overproduction of integrated circuits (ICs). In the previous chapters, various obfuscation approaches based on keys were introduced to prevent these attacks. Such approaches require a confidential and secure method for communicating and storing keys in the ICs. In this chapter, you will learn about the following: \(\bullet \) various cryptographic primitives commonly employed in different Internet applications; \(\bullet \) the vulnerabilities of transmitting and storing chip unlock keys that prevent IP overuse and IC overproduction; \(\bullet \) a secure communication protocol for transferring chip unlock keys from the IP owners and SoC designers to the untrusted foundries and assemblies; \(\bullet \) how the IEEE P1735 standard can encrypt IPs and manage the chip unlock keys for IPs; and \(\bullet \) an IP digest that prevents untrusted entities from tampering with encrypted IPs. Note that the secure key management techniques discussed in this chapter focus on obfuscated and encrypted designs; however, they are equally applicable to all kinds of key-based obfuscation techniques discussed throughout this book.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
2.
go back to reference Rivest RL, Shamir A, Adleman L (1978) A method for obtaining digital signatures and public-key cryptosystems. Commun ACM 21(2):120–126MathSciNetCrossRefMATH Rivest RL, Shamir A, Adleman L (1978) A method for obtaining digital signatures and public-key cryptosystems. Commun ACM 21(2):120–126MathSciNetCrossRefMATH
4.
go back to reference Miller VS (1985) Use of elliptic curves in cryptography. Conference on the theory and application of cryptographic techniques. Springer, Berlin, 1985, pp 417–426 Miller VS (1985) Use of elliptic curves in cryptography. Conference on the theory and application of cryptographic techniques. Springer, Berlin, 1985, pp 417–426
5.
go back to reference Krawczyk H, Canetti R, Bellare M (1997) HMAC: keyed-hashing for message authentication Krawczyk H, Canetti R, Bellare M (1997) HMAC: keyed-hashing for message authentication
6.
go back to reference FIPS, “198-1,” (2007) The keyed-hash message authentication code (HMAC). National Institute of Standards and Technology FIPS, “198-1,” (2007) The keyed-hash message authentication code (HMAC). National Institute of Standards and Technology
7.
go back to reference Rivest RL, Shamir A, Adleman L (1978) A method for obtaining digital signatures and public-key cryptosystems. Commun ACM 21(2):120–126MathSciNetCrossRefMATH Rivest RL, Shamir A, Adleman L (1978) A method for obtaining digital signatures and public-key cryptosystems. Commun ACM 21(2):120–126MathSciNetCrossRefMATH
8.
go back to reference Vernam GS (1919) Secret signaling system. US Patent 1,310,719 Vernam GS (1919) Secret signaling system. US Patent 1,310,719
9.
go back to reference Katz J, Lindell Y (2014) Introduction to modern cryptography. CRC Press, Boca Raton Katz J, Lindell Y (2014) Introduction to modern cryptography. CRC Press, Boca Raton
10.
go back to reference Paar C, Pelzl J, (2009) Understanding cryptography: a textbook for students and practitioners. Springer Science & Business Media, Berlin Paar C, Pelzl J, (2009) Understanding cryptography: a textbook for students and practitioners. Springer Science & Business Media, Berlin
11.
go back to reference Standard DE (1977) Federal information processing standards publication 46. National Bureau of Standards, US Department of Commerce Standard DE (1977) Federal information processing standards publication 46. National Bureau of Standards, US Department of Commerce
12.
go back to reference Pub NF (2001) 197: Advanced encryption standard (aes). Fed Inf Process Stand Publ 197:0311–441 Pub NF (2001) 197: Advanced encryption standard (aes). Fed Inf Process Stand Publ 197:0311–441
13.
go back to reference NIST (2012) FIPS PUB 180-4: secure hash standard NIST (2012) FIPS PUB 180-4: secure hash standard
14.
go back to reference NIST (2008) FIPS PUB 198-1: the keyed-hash message authentication code (HMAC) NIST (2008) FIPS PUB 198-1: the keyed-hash message authentication code (HMAC)
15.
go back to reference Dworkin M (2004) Recommendation for block cipher modes of operation: the CCM mode for authentication and confidentiality (nist sp 800-38c) Dworkin M (2004) Recommendation for block cipher modes of operation: the CCM mode for authentication and confidentiality (nist sp 800-38c)
16.
go back to reference Yeh A (2012) Trends in the global IC design service market. DIGITIMES research Yeh A (2012) Trends in the global IC design service market. DIGITIMES research
17.
go back to reference Tehranipoor MM, Guin U, Forte D (2015) Counterfeit integrated circuits: detection and avoidance. Springer, Berlin Tehranipoor MM, Guin U, Forte D (2015) Counterfeit integrated circuits: detection and avoidance. Springer, Berlin
18.
go back to reference Guin U, Huang K, DiMase D, Carulli J, Tehranipoor M, Makris Y (2014) Counterfeit integrated circuits: a rising threat in the global semiconductor supply chain. Proc IEEE 102(8):1207–1228CrossRef Guin U, Huang K, DiMase D, Carulli J, Tehranipoor M, Makris Y (2014) Counterfeit integrated circuits: a rising threat in the global semiconductor supply chain. Proc IEEE 102(8):1207–1228CrossRef
19.
go back to reference Guin U, DiMase D, Tehranipoor M (2014) Counterfeit integrated circuits: detection, avoidance, and the challenges ahead. J Electron Test 30(1):9–23CrossRef Guin U, DiMase D, Tehranipoor M (2014) Counterfeit integrated circuits: detection, avoidance, and the challenges ahead. J Electron Test 30(1):9–23CrossRef
20.
go back to reference Tehranipoor M, Salmani H, Zhang X (2014) Integrated circuit authentication: hardware trojans and counterfeit detection. Springer, Berlin Tehranipoor M, Salmani H, Zhang X (2014) Integrated circuit authentication: hardware trojans and counterfeit detection. Springer, Berlin
22.
go back to reference Kahng AB, Lach J, Mangione-Smith WH, Mantik S, Markov IL, Potkonjak M, Tucker P, Wang H, Wolfe G (2006) Constraint-based watermarking techniques for design IP protection. Trans Comput-Aided Des Integr Circuits Syst 20(10):1236–1252. http://dx.doi.org/10.1109/43.952740 Kahng AB, Lach J, Mangione-Smith WH, Mantik S, Markov IL, Potkonjak M, Tucker P, Wang H, Wolfe G (2006) Constraint-based watermarking techniques for design IP protection. Trans Comput-Aided Des Integr Circuits Syst 20(10):1236–1252. http://​dx.​doi.​org/​10.​1109/​43.​952740
23.
go back to reference Chakraborty RS, Bhunia S (2009) HARPOON: an obfuscation-based SoC design methodology for hardware protection. IEEE Trans Comput-Aided Des Integr Circuits Syst 28(10):1493–1502CrossRef Chakraborty RS, Bhunia S (2009) HARPOON: an obfuscation-based SoC design methodology for hardware protection. IEEE Trans Comput-Aided Des Integr Circuits Syst 28(10):1493–1502CrossRef
24.
go back to reference Tehranipoor M, Wang C (2012) Introduction to hardware security and trust. Springer, Berlin Tehranipoor M, Wang C (2012) Introduction to hardware security and trust. Springer, Berlin
25.
go back to reference Koushanfar F, Qu G (2001) Hardware metering. In: Proceedings of the IEEE-ACM design automation conference, pp 490–493 Koushanfar F, Qu G (2001) Hardware metering. In: Proceedings of the IEEE-ACM design automation conference, pp 490–493
26.
go back to reference Roy J, Koushanfar F, Markov I (2008) EPIC: ending piracy of integrated circuits. In: Proceedings of the conference on design, automation and test in Europe, pp 1069–1074 Roy J, Koushanfar F, Markov I (2008) EPIC: ending piracy of integrated circuits. In: Proceedings of the conference on design, automation and test in Europe, pp 1069–1074
27.
go back to reference Contreras G, Rahman T, Tehranipoor M (2013) Secure split-test for preventing IC piracy by untrusted foundry and assembly. In: Proceedings of the international symposium on fault and defect tolerance in VLSI systems Contreras G, Rahman T, Tehranipoor M (2013) Secure split-test for preventing IC piracy by untrusted foundry and assembly. In: Proceedings of the international symposium on fault and defect tolerance in VLSI systems
28.
go back to reference Rahman MT, Forte D, Shi Q, Contreras GK, Tehranipoor M (2014) CSST: preventing distribution of unlicensed and rejected ICS by untrusted foundry and assembly. In (2014) IEEE international symposium on defect and fault tolerance in VLSI and nanotechnology systems (DFT). IEEE, pp 46–51 Rahman MT, Forte D, Shi Q, Contreras GK, Tehranipoor M (2014) CSST: preventing distribution of unlicensed and rejected ICS by untrusted foundry and assembly. In (2014) IEEE international symposium on defect and fault tolerance in VLSI and nanotechnology systems (DFT). IEEE, pp 46–51
29.
go back to reference Guin U, Shi Q, Forte D, Tehranipoor M (2016) FORTIS: a comprehensive solution for establishing forward trust for protecting IPs and ICs. ACM Trans Des Autom Electron Syst (TODAES) Guin U, Shi Q, Forte D, Tehranipoor M (2016) FORTIS: a comprehensive solution for establishing forward trust for protecting IPs and ICs. ACM Trans Des Autom Electron Syst (TODAES)
30.
go back to reference Guin U (2016) Establishment of trust and integrity in modern supply chain from design to resign Guin U (2016) Establishment of trust and integrity in modern supply chain from design to resign
31.
go back to reference Rajendran J, Pino Y, Sinanoglu O, Karri R (2012) Security analysis of logic obfuscation. In: 2012 49th ACM/EDAC/IEEE design automation conference (DAC), pp 83–89 Rajendran J, Pino Y, Sinanoglu O, Karri R (2012) Security analysis of logic obfuscation. In: 2012 49th ACM/EDAC/IEEE design automation conference (DAC), pp 83–89
32.
go back to reference DASC (2014) 1735–2014 - IEEE approved draft recommended practice for encryption and management of electronic design intellectual property (IP) DASC (2014) 1735–2014 - IEEE approved draft recommended practice for encryption and management of electronic design intellectual property (IP)
33.
go back to reference Synopsys (2014) Synopsys FPGA synthesis synplify pro for lattice: user guide Synopsys (2014) Synopsys FPGA synthesis synplify pro for lattice: user guide
34.
go back to reference Bushnell M, Agrawal V. (2000) Essentials of electronic testing for digital, memory, and mixed-signal VLSI circuits. Springer, Berlin Bushnell M, Agrawal V. (2000) Essentials of electronic testing for digital, memory, and mixed-signal VLSI circuits. Springer, Berlin
37.
go back to reference Nagaraj P (2015) Choosing the right scan compression architecture for your design. Technical report Nagaraj P (2015) Choosing the right scan compression architecture for your design. Technical report
38.
go back to reference Synopsys (2015) DFT compiler, DFTMAX\(^{TM}\), and DFTMAX\(^{TM}\) ultra user guide Synopsys (2015) DFT compiler, DFTMAX\(^{TM}\), and DFTMAX\(^{TM}\) ultra user guide
39.
go back to reference IEEE Standards Association and others (2001) 1149.1–2001 - IEEE standard test access port and boundary scan architecture. IEEE IEEE Standards Association and others (2001) 1149.1–2001 - IEEE standard test access port and boundary scan architecture. IEEE
40.
go back to reference Jeong DS, Thomas R, Katiyar R, Scott J, Kohlstedt H, Petraru A, Hwang CS (2012) Emerging memories: resistive switching mechanisms and current status. Rep Prog Phys 75(7):076502CrossRef Jeong DS, Thomas R, Katiyar R, Scott J, Kohlstedt H, Petraru A, Hwang CS (2012) Emerging memories: resistive switching mechanisms and current status. Rep Prog Phys 75(7):076502CrossRef
41.
go back to reference Miyamoto A, Homma N, Aoki T, Satoh A (2011) Systematic design of RSA processors based on high-radix montgomery multipliers. IEEE Trans Very Large Scale Integr (VLSI) Syst 19(7):1136–1146 Miyamoto A, Homma N, Aoki T, Satoh A (2011) Systematic design of RSA processors based on high-radix montgomery multipliers. IEEE Trans Very Large Scale Integr (VLSI) Syst 19(7):1136–1146
42.
go back to reference Holcomb DE, Burleson WP, Fu K (2007) Initial SRAM state as a fingerprint and source of true random numbers for RFID tags. In: Proceedings of the conference on RFID security Holcomb DE, Burleson WP, Fu K (2007) Initial SRAM state as a fingerprint and source of true random numbers for RFID tags. In: Proceedings of the conference on RFID security
43.
go back to reference Sunar B, Martin W, Stinson D (2007) A provably secure true random number generator with built-in tolerance to active attacks. IEEE Trans Comput 56(1):109–119MathSciNetCrossRef Sunar B, Martin W, Stinson D (2007) A provably secure true random number generator with built-in tolerance to active attacks. IEEE Trans Comput 56(1):109–119MathSciNetCrossRef
45.
go back to reference Dworkin M (2001) NIST special publication 800-38A: recommendation for block cipher modes of operation Dworkin M (2001) NIST special publication 800-38A: recommendation for block cipher modes of operation
Metadata
Title
Obfuscation and Encryption for Securing Semiconductor Supply Chain
Authors
Ujjwal Guin
Mark M. Tehranipoor
Copyright Year
2017
DOI
https://doi.org/10.1007/978-3-319-49019-9_13