Skip to main content
Top

2014 | OriginalPaper | Chapter

3. Optimisation Framework

Authors : Haris Javaid, Sri Parameswaran

Published in: Pipelined Multiprocessor System-on-Chip for Multimedia

Publisher: Springer International Publishing

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

This chapter provides a philosophical overview of the research reported in this monograph. Firstly, the application model and pipelined MPSoCs considered in this monograph are described. Then, shortcomings of prior research on pipelined MPSoCs are discussed in order to provide an idea of how this monograph fills in some of the gaps in prior research. Lastly, this chapter rationalises the design-time and run-time optimisations proposed for pipelined MPSoCs in this monograph.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference T.C. Chen, C.J. Lian, L.G. Chen, Hardware architecture design of an h.264/avc video Codec, in Proceedings of the 2006 Asia and South Pacific Design Automation Conference (ASP-DAC ’06), (IEEE Press, 2006) T.C. Chen, C.J. Lian, L.G. Chen, Hardware architecture design of an h.264/avc video Codec, in Proceedings of the 2006 Asia and South Pacific Design Automation Conference (ASP-DAC ’06), (IEEE Press, 2006)
2.
go back to reference S.L. Shee, S. Parameswaran, Design methodology for pipelined heterogeneous multiprocessor system, in Proceedings of the 44th Annual Conference on Design Automation (DAC ’07) (2007), pp. 811–816 S.L. Shee, S. Parameswaran, Design methodology for pipelined heterogeneous multiprocessor system, in Proceedings of the 44th Annual Conference on Design Automation (DAC ’07) (2007), pp. 811–816
3.
go back to reference I. Karkowski, H. Corporaal, Design of heterogenous multi-processor embedded systems: applying functional pipelining, in Proceedings of the 1997 International Conference on Parallel Architectures and Compilation Techniques (PACT ’97) (IEEE Computer Society, 1997) I. Karkowski, H. Corporaal, Design of heterogenous multi-processor embedded systems: applying functional pipelining, in Proceedings of the 1997 International Conference on Parallel Architectures and Compilation Techniques (PACT ’97) (IEEE Computer Society, 1997)
4.
go back to reference H. Javaid, S. Parameswaran, Synthesis of heterogeneous pipelined multiprocessor systems using ilp: jpeg case study, in Proceedings of the 6th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES/ISSS ’08) (ACM, New York, 2008), pp. 1–6 H. Javaid, S. Parameswaran, Synthesis of heterogeneous pipelined multiprocessor systems using ilp: jpeg case study, in Proceedings of the 6th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES/ISSS ’08) (ACM, New York, 2008), pp. 1–6
5.
go back to reference H. Javaid, S. Parameswaran, A design flow for application specific heterogeneous pipelined multiprocessor systems, in Proceedings of the 46th Annual Design Automation Conference (DAC ’09) (ACM, New York, 2009), pp. 250–253 H. Javaid, S. Parameswaran, A design flow for application specific heterogeneous pipelined multiprocessor systems, in Proceedings of the 46th Annual Design Automation Conference (DAC ’09) (ACM, New York, 2009), pp. 250–253
6.
go back to reference H. Javaid, A. Ignjatovic, S. Parameswaran, Fidelity metrics for estimation models, in Proceedings of the 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov 2010, pp. 1–8 H. Javaid, A. Ignjatovic, S. Parameswaran, Fidelity metrics for estimation models, in Proceedings of the 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov 2010, pp. 1–8
7.
go back to reference Y. Jin, N. Satish, K. Ravindran, K. Keutzer, An automated exploration framework for fpga-based soft multiprocessor systems, in Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/software Codesign and System Synthesis (CODES+ISSS ’05) (ACM, New York, 2005), pp. 273–278 Y. Jin, N. Satish, K. Ravindran, K. Keutzer, An automated exploration framework for fpga-based soft multiprocessor systems, in Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/software Codesign and System Synthesis (CODES+ISSS ’05) (ACM, New York, 2005), pp. 273–278
8.
go back to reference J. Cong, G. Han, W. Jiang, Synthesis of an application-specific soft multiprocessor system, in Proceedings of the 2007 ACM/SIGDA 15th International Symposium on Field Programmable Gate Arrays (FPGA ’07) (ACM, New York, 2007), pp. 99–107 J. Cong, G. Han, W. Jiang, Synthesis of an application-specific soft multiprocessor system, in Proceedings of the 2007 ACM/SIGDA 15th International Symposium on Field Programmable Gate Arrays (FPGA ’07) (ACM, New York, 2007), pp. 99–107
9.
go back to reference U. Bordoloi, H.P. Huynh, T. Mitra, S. Chakraborty, Design space exploration of instruction set customizable MPSoCS for multimedia applications, in Proceedings of the 2010 International Conference on Embedded Computer Systems (SAMOS), July 2010, pp. 170–177 U. Bordoloi, H.P. Huynh, T. Mitra, S. Chakraborty, Design space exploration of instruction set customizable MPSoCS for multimedia applications, in Proceedings of the 2010 International Conference on Embedded Computer Systems (SAMOS), July 2010, pp. 170–177
10.
go back to reference L. Chen, N. Boichat, T. Mitra, Customized MPSoC synthesis for task sequence, in Proceedings of the 2011 IEEE 9th Symposium on Application Specific Processors (SASP ’11) (IEEE Computer Society, Washington DC, 2011), pp. 16–21 L. Chen, N. Boichat, T. Mitra, Customized MPSoC synthesis for task sequence, in Proceedings of the 2011 IEEE 9th Symposium on Application Specific Processors (SASP ’11) (IEEE Computer Society, Washington DC, 2011), pp. 16–21
11.
go back to reference S. Carta, A. Alimonda, A. Pisano, A. Acquaviva, L. Benini, A control theoretic approach to energy-efficient pipelined computation in MPSoCS. ACM Trans. Embed. Comput. Syst. 6(4) (2007) S. Carta, A. Alimonda, A. Pisano, A. Acquaviva, L. Benini, A control theoretic approach to energy-efficient pipelined computation in MPSoCS. ACM Trans. Embed. Comput. Syst. 6(4) (2007)
12.
go back to reference A. Alimonda, S. Carta, A. Acquaviva, A. Pisano, L. Benini, A Feedback-based approach to DVFS in data-flow applications. IEEE Trans. CAD Integr. Circ. Syst. 28(11), 1691–1704 (2009) A. Alimonda, S. Carta, A. Acquaviva, A. Pisano, L. Benini, A Feedback-based approach to DVFS in data-flow applications. IEEE Trans. CAD Integr. Circ. Syst. 28(11), 1691–1704 (2009)
13.
go back to reference H. Guo, S. Parameswaran, Balancing system level pipelines with stage voltage scaling, in Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI ’05) (New Frontiers in VLSI Design, 2005) H. Guo, S. Parameswaran, Balancing system level pipelines with stage voltage scaling, in Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI ’05) (New Frontiers in VLSI Design, 2005)
14.
go back to reference X. Liu, P.J. Shenoy, M.D. Corner, Chameleon: application-level power management. IEEE Trans. Mob. Comput. 7(8), 995–1010 (2008)CrossRef X. Liu, P.J. Shenoy, M.D. Corner, Chameleon: application-level power management. IEEE Trans. Mob. Comput. 7(8), 995–1010 (2008)CrossRef
15.
go back to reference W. Kim, M. Gupta, G.-Y. Wei, D. Brooks, System level analysis of fast, per-core dvfs using on-chip switching regulators, in Proceedings of the IEEE 14th International Symposium on High Performance Computer Architecture (HPCA 2008) (2008), pp. 123–134 W. Kim, M. Gupta, G.-Y. Wei, D. Brooks, System level analysis of fast, per-core dvfs using on-chip switching regulators, in Proceedings of the IEEE 14th International Symposium on High Performance Computer Architecture (HPCA 2008) (2008), pp. 123–134
16.
go back to reference K.K. Rangan, G. Yeon Wei, D. Brooks, Thread motion: fine-grained power management for multi-core systems, in Proceedings of the International Symposium on Computer Architecture (2009), pp. 302–313 K.K. Rangan, G. Yeon Wei, D. Brooks, Thread motion: fine-grained power management for multi-core systems, in Proceedings of the International Symposium on Computer Architecture (2009), pp. 302–313
19.
go back to reference S. Verdoolaege, H. Nikolov, T. Stefanov, pn: a tool for improved derivation of process networks. EURASIP J. Embed. Syst. 2007, 19 (2007) S. Verdoolaege, H. Nikolov, T. Stefanov, pn: a tool for improved derivation of process networks. EURASIP J. Embed. Syst. 2007, 19 (2007)
20.
go back to reference D. Cordes, A. Heinig, P. Marwedel, A. Mallik, Automatic extraction of pipeline parallelism for embedded software using linear programming, in Proceedings of the 2011 IEEE 17th International Conference on Parallel and Distributed Systems (ICPADS), Dec 2011, pp. 699–706 D. Cordes, A. Heinig, P. Marwedel, A. Mallik, Automatic extraction of pipeline parallelism for embedded software using linear programming, in Proceedings of the 2011 IEEE 17th International Conference on Parallel and Distributed Systems (ICPADS), Dec 2011, pp. 699–706
21.
go back to reference W. Thies, M. Karczmarek, S.P. Amarasinghe, Streamit: a language for streaming applications, in Proceedings of the 11th International Conference on Compiler Construction (CC ’02) (Springer, Heidelberg, 2002), pp. 179–196 W. Thies, M. Karczmarek, S.P. Amarasinghe, Streamit: a language for streaming applications, in Proceedings of the 11th International Conference on Compiler Construction (CC ’02) (Springer, Heidelberg, 2002), pp. 179–196
22.
go back to reference M. Kudlur, S. Mahlke, Orchestrating the execution of stream programs on multicore platforms, in Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI ’ 08) (2008) M. Kudlur, S. Mahlke, Orchestrating the execution of stream programs on multicore platforms, in Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI ’ 08) (2008)
23.
go back to reference M. Hashemi, S. Ghiasi, Throughput-driven synthesis of embedded software for pipelined execution on multicore architectures. ACM Trans. Embed. Comput. Syst. 8, 11:1–11:35 (2009) M. Hashemi, S. Ghiasi, Throughput-driven synthesis of embedded software for pipelined execution on multicore architectures. ACM Trans. Embed. Comput. Syst. 8, 11:1–11:35 (2009)
24.
go back to reference S.M. Farhad, Y. Ko, B. Burgstaller, B. Scholz, Orchestration by approximation: mapping stream programs onto multicore architectures, in Proceedings of the Sixteenth International Conference on Architectural Support for Programming Languages and Operating Systems (AS-PLOS ’11) (2011) S.M. Farhad, Y. Ko, B. Burgstaller, B. Scholz, Orchestration by approximation: mapping stream programs onto multicore architectures, in Proceedings of the Sixteenth International Conference on Architectural Support for Programming Languages and Operating Systems (AS-PLOS ’11) (2011)
Metadata
Title
Optimisation Framework
Authors
Haris Javaid
Sri Parameswaran
Copyright Year
2014
DOI
https://doi.org/10.1007/978-3-319-01113-4_3