Skip to main content
Top

2014 | OriginalPaper | Chapter

4. Performance Estimation of Pipelined MPSoCs

Authors : Haris Javaid, Sri Parameswaran

Published in: Pipelined Multiprocessor System-on-Chip for Multimedia

Publisher: Springer International Publishing

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

This chapter focuses on analytical models and estimation methods for three performance metrics (execution time, latency and throughput) of pipelined MPSoCs to speed up their design space exploration process.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Footnotes
1
Note that in real-time, the input data to first processor will be available at the rate equal to throughput of the pipelined MPSoC. In such a scenario, number of FIFO buffers between the first processor and critical processor will not affect the latency of the pipelined MPSoC because the input data will not be available to the first processor in advance. Hence, the second factor will not contribute to the latency of a real-time pipelined MPSoC.
 
2
Fidelity measures the correlation between the ordering of the actual and estimated values to quantify the similarity between the trends of actual and estimated values. A value close to 1 means that an analytical model has high fidelity. \(FM_{\rho }\) metric from [21] is used to compute fidelity due to its lower computational complexity.
 
Literature
1.
go back to reference S.L. Shee, S. Parameswaran, Design methodology for pipelined heterogeneous multiprocessor system, in DAC ’07: Proceedings of the 44th annual conference on Design automation, San Diego, pp. 811–816 2007 S.L. Shee, S. Parameswaran, Design methodology for pipelined heterogeneous multiprocessor system, in DAC ’07: Proceedings of the 44th annual conference on Design automation, San Diego, pp. 811–816 2007
2.
go back to reference I. Karkowski, H. Corporaal, Design of heterogenous multi-processor embedded systems: applying functional pipelining, in PACT ’97: Proceedings of the 1997 International Conference on Parallel Architectures and Compilation Techniques, IEEE Computer Society, San Francisco, 1997 I. Karkowski, H. Corporaal, Design of heterogenous multi-processor embedded systems: applying functional pipelining, in PACT ’97: Proceedings of the 1997 International Conference on Parallel Architectures and Compilation Techniques, IEEE Computer Society, San Francisco, 1997
3.
go back to reference H. Javaid, S. Parameswaran, Synthesis of heterogeneous pipelined multiprocessor systems using ilp: jpeg case study, in CODES/ISSS ’08: Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis, ACM, New York, pp. 1–6 2008 H. Javaid, S. Parameswaran, Synthesis of heterogeneous pipelined multiprocessor systems using ilp: jpeg case study, in CODES/ISSS ’08: Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis, ACM, New York, pp. 1–6 2008
4.
go back to reference H. Javaid, S. Parameswaran, A design flow for application specific heterogeneous pipelined multiprocessor systems, in DAC ’09: Proceedings of the 46th Annual Design Automation Conference, ACM, New York, pp. 250–253 2009 H. Javaid, S. Parameswaran, A design flow for application specific heterogeneous pipelined multiprocessor systems, in DAC ’09: Proceedings of the 46th Annual Design Automation Conference, ACM, New York, pp. 250–253 2009
6.
go back to reference M. Yourst, PTLsim: a cycle accurate full system x86–64 microarchitectural simulator, in Performance Analysis of Systems and Software, ISPASS 2007. IEEE International Symposium on, pp. 23–34 Aprl 2007 M. Yourst, PTLsim: a cycle accurate full system x86–64 microarchitectural simulator, in Performance Analysis of Systems and Software, ISPASS 2007. IEEE International Symposium on, pp. 23–34 Aprl 2007
8.
go back to reference R. Srinivasan, J. Cook, O. Lubeck, Performance modeling using monte carlo simulation, Comput. Archit. Lett. 5, 38–41 (2006) R. Srinivasan, J. Cook, O. Lubeck, Performance modeling using monte carlo simulation, Comput. Archit. Lett. 5, 38–41 (2006)
9.
go back to reference H. Javaid, A. Ignjatovic, S. Parameswaran, Rapid design space exploration of application specific heterogeneous pipelined multiprocessor systems. Trans. Comp.-Aided Des. Integ. Cir. Sys. 29, 1777–1789 (2010)CrossRef H. Javaid, A. Ignjatovic, S. Parameswaran, Rapid design space exploration of application specific heterogeneous pipelined multiprocessor systems. Trans. Comp.-Aided Des. Integ. Cir. Sys. 29, 1777–1789 (2010)CrossRef
10.
go back to reference M.S. Haque, J. Peddersen, A. Janapsatya, S. Parameswaran, Dew: a fast level 1 cache simulation approach for embedded processors with fifo replacement policy, in DATE ’10: Proceedings of the conference on Design, automation and test in, Europe, 2010 M.S. Haque, J. Peddersen, A. Janapsatya, S. Parameswaran, Dew: a fast level 1 cache simulation approach for embedded processors with fifo replacement policy, in DATE ’10: Proceedings of the conference on Design, automation and test in, Europe, 2010
12.
go back to reference N. Tojo, N. Togawa, M. Yanagisawa, T. Ohtsuki, Exact and fast l1 cache simulation for embedded systems, in ASP-DAC ’09: Proceedings of the 2009 Conference on Asia and South Pacific Design Automation, IEEE Press, Piscataway, pp. 817–822 2009 N. Tojo, N. Togawa, M. Yanagisawa, T. Ohtsuki, Exact and fast l1 cache simulation for embedded systems, in ASP-DAC ’09: Proceedings of the 2009 Conference on Asia and South Pacific Design Automation, IEEE Press, Piscataway, pp. 817–822 2009
13.
go back to reference L. Singleton, C. Poellabauer, K. Schwan, Monitoring of cache miss rates for accurate dynamic voltage and frequency scaling, in Proceedings of the Multimedia Computing and Networking Conference (MMCN), 2005 L. Singleton, C. Poellabauer, K. Schwan, Monitoring of cache miss rates for accurate dynamic voltage and frequency scaling, in Proceedings of the Multimedia Computing and Networking Conference (MMCN), 2005
14.
go back to reference B.C. Lee, D.M. Brooks, Accurate and efficient regression modeling for microarchitectural performance and power prediction, in ASPLOS-XII: Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, ACM, New York, pp. 185–194 2006 B.C. Lee, D.M. Brooks, Accurate and efficient regression modeling for microarchitectural performance and power prediction, in ASPLOS-XII: Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, ACM, New York, pp. 185–194 2006
15.
go back to reference P. Joseph, K. Vaswani, M. Thazhuthaveetil, Construction and use of linear regression models for processor performance analysis, in Proceedings of the High-Performance Computer Architecture, The Twelfth International Symposium on, pp. 99–108 2006 P. Joseph, K. Vaswani, M. Thazhuthaveetil, Construction and use of linear regression models for processor performance analysis, in Proceedings of the High-Performance Computer Architecture, The Twelfth International Symposium on, pp. 99–108 2006
16.
go back to reference T.S. Karkhanis, J.E. Smith, A first-order superscalar processor model. SIGARCH Comput. Archit. News 32(2), 338 (2004)CrossRef T.S. Karkhanis, J.E. Smith, A first-order superscalar processor model. SIGARCH Comput. Archit. News 32(2), 338 (2004)CrossRef
17.
go back to reference A. Ghamarian, M. Geilen, S. Stuijk, T. Basten, A. Moonen, M. Bekooij, B. Theelen, M. Mousavi, Throughput analysis of synchronous data flow graphs, in Proceedings of the Application of Concurrency to System Design, ACSD 2006. Sixth International Conference on, pp. 25–36 june 2006 A. Ghamarian, M. Geilen, S. Stuijk, T. Basten, A. Moonen, M. Bekooij, B. Theelen, M. Mousavi, Throughput analysis of synchronous data flow graphs, in Proceedings of the Application of Concurrency to System Design, ACSD 2006. Sixth International Conference on, pp. 25–36 june 2006
18.
go back to reference A. Ghamarian, M. Geilen, T. Basten, S. Stuijk, Parametric throughput analysis of synchronous data flow graphs, in Proceedings of the Design, Automation and Test in Europe, DATE ’08, pp. 116–121 March 2008 A. Ghamarian, M. Geilen, T. Basten, S. Stuijk, Parametric throughput analysis of synchronous data flow graphs, in Proceedings of the Design, Automation and Test in Europe, DATE ’08, pp. 116–121 March 2008
21.
go back to reference H. Javaid, A. Ignjatovic, S. Parameswaran, Fidelity metrics for estimation models, in Proceedings of the Computer-Aided Design (ICCAD), IEEE/ACM International Conference on, pp. 1–8 Nov 2010 H. Javaid, A. Ignjatovic, S. Parameswaran, Fidelity metrics for estimation models, in Proceedings of the Computer-Aided Design (ICCAD), IEEE/ACM International Conference on, pp. 1–8 Nov 2010
Metadata
Title
Performance Estimation of Pipelined MPSoCs
Authors
Haris Javaid
Sri Parameswaran
Copyright Year
2014
DOI
https://doi.org/10.1007/978-3-319-01113-4_4