Skip to main content
Top

2018 | OriginalPaper | Chapter

5. Optimization of Digital Circuits with Consideration of DF

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

An algorithm for optimizing the critical timing paths of digital circuits, taking into account the influence of destabilizing factors with the minimal growth of power consumption by the circuit is described. The algorithm is tested on digital circuits of the ISCAS89 series. An algorithm to solve the problem of reducing the level of power consumption of digital circuits, taking into account the influence of destabilizing factors at the expense of the use of the speed margin in the design options of digital circuits is also described.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
32.
go back to reference Ashok B. Mehta. ASIC/SoC Functional Design Verification: A Comprehensive Guide to Technologies and Methodologies. -Springer, 2017. -328p. Ashok B. Mehta. ASIC/SoC Functional Design Verification: A Comprehensive Guide to Technologies and Methodologies. -Springer, 2017. -328p.
163.
go back to reference Avril H., Tropper C. Scalable Clustered Time Warp and Logic Simulation // VLSI Design. -1999. -Vol. 9, No. 3. -P. 36-42. Avril H., Tropper C. Scalable Clustered Time Warp and Logic Simulation // VLSI Design. -1999. -Vol. 9, No. 3. -P. 36-42.
290.
go back to reference Melikyan V.Sh., Mnatsakanyan V.A., Ziad B.Kh. Universal adaptive system of parametrical identification of models of electronic components // Proceedings of international scientific-technical conference “Problems of physical and biomedical electronics”, Kiev, 1996. -P. 68-72. (in Russian) Melikyan V.Sh., Mnatsakanyan V.A., Ziad B.Kh. Universal adaptive system of parametrical identification of models of electronic components // Proceedings of international scientific-technical conference “Problems of physical and biomedical electronics”, Kiev, 1996. -P. 68-72. (in Russian)
310.
go back to reference Entrena L.A., Cheng K.T. Sequential Logic Optimization by Redundancy Addition and Removal // IEEE Transactions on CAD.-July 1995. -Vol. 14, No. 7. -P. 909-916. Entrena L.A., Cheng K.T. Sequential Logic Optimization by Redundancy Addition and Removal // IEEE Transactions on CAD.-July 1995. -Vol. 14, No. 7. -P. 909-916.
311.
go back to reference Rajeev Murgai Layout-Driven Area-Constrained Timing Optimization by Net Buffering // Proc. DAC. -June 2001. -P. 82-86. Rajeev Murgai Layout-Driven Area-Constrained Timing Optimization by Net Buffering // Proc. DAC. -June 2001. -P. 82-86.
128.
go back to reference Hong X.L., Jing T., Xu J.Y., Bao H.Y., Gu J. CNB: A Critical-Network-Based Timing Optimization Method for Standard Cell Global Routing // Journal of Computer Science and Technology (JCST). -2003. -Vol. 18, No. 6. -P. 732-738. Hong X.L., Jing T., Xu J.Y., Bao H.Y., Gu J. CNB: A Critical-Network-Based Timing Optimization Method for Standard Cell Global Routing // Journal of Computer Science and Technology (JCST). -2003. -Vol. 18, No. 6. -P. 732-738.
129.
go back to reference Bubennikov A., Blinnik S. Design and Optimization of Super-Speed CMOS. CBiCMOS Circuits Based on TCAD and Time-Logical Simulator // Baltic Electronics Conference (BEC). -Tallin, 1996. -P. 256-261. Bubennikov A., Blinnik S. Design and Optimization of Super-Speed CMOS. CBiCMOS Circuits Based on TCAD and Time-Logical Simulator // Baltic Electronics Conference (BEC). -Tallin, 1996. -P. 256-261.
134.
go back to reference Chen H.C., Du D.H., Liu L.R. Critical Path Selection for Performance Optimization // IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems. -1993. -Vol. 12, No. 2. -P. 185-195. Chen H.C., Du D.H., Liu L.R. Critical Path Selection for Performance Optimization // IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems. -1993. -Vol. 12, No. 2. -P. 185-195.
135.
go back to reference Graziano M., Delaurenti M., Masera G., Piccinini G., Zamboni M. Noise Safety Design Methodologies // IEEE International Symposium on Quality Electronic Design (ISQED). -Arlington, 2000. -P. 157-166. Graziano M., Delaurenti M., Masera G., Piccinini G., Zamboni M. Noise Safety Design Methodologies // IEEE International Symposium on Quality Electronic Design (ISQED). -Arlington, 2000. -P. 157-166.
210.
go back to reference Abramov I.I. Simulation of physical processes in silicon integral microcircuit elements. -BSU, 1999. -89p. (in Russian). Abramov I.I. Simulation of physical processes in silicon integral microcircuit elements. -BSU, 1999. -89p. (in Russian).
253.
go back to reference Liu C., Li Y., Du Y., Du L., Wang T. Hybrid thermal aware reconfigurable 3D IC with dynamic power gating architecture // IEEE Semiconductor Technology International Conference (CSTIC). -Shanghai, China, 2017. P. 1-3. Liu C., Li Y., Du Y., Du L., Wang T. Hybrid thermal aware reconfigurable 3D IC with dynamic power gating architecture // IEEE Semiconductor Technology International Conference (CSTIC). -Shanghai, China, 2017. P. 1-3.
255.
go back to reference Liao I.M.J., Su C.F., Chang A.C.Y., Wu A.C.H. A Carry-Select-Adder Optimization Technique for High-Performance Booth-Encoded Wallace-Tree Multipliers // IEEE International Symposium on Circuits and Systems (ISCAS). -Scottsdale, Arizona, 2002. -P. I257-I259. Liao I.M.J., Su C.F., Chang A.C.Y., Wu A.C.H. A Carry-Select-Adder Optimization Technique for High-Performance Booth-Encoded Wallace-Tree Multipliers // IEEE International Symposium on Circuits and Systems (ISCAS). -Scottsdale, Arizona, 2002. -P. I257-I259.
257.
go back to reference Yunfeng W., Jinian B., Qiang W., Heng H. Re-synthesis after Floor-planning for Timing Optimization // IEEE International conference on ASIC (ASICON). -Beijing, China, -2003. -Vol. 1. -P. 212-215. Yunfeng W., Jinian B., Qiang W., Heng H. Re-synthesis after Floor-planning for Timing Optimization // IEEE International conference on ASIC (ASICON). -Beijing, China, -2003. -Vol. 1. -P. 212-215.
312.
go back to reference Lillis John, Kuan Cheng Chung, Lin Ting-Ting Y., Ching-Yen Ho. New Performance Driven Routing Techniques With Explicit Area Delay Tradeoff and Simultaneous Wire Sizing // Proc. Design Automation Conference. -June 2001. -P. 82-85. Lillis John, Kuan Cheng Chung, Lin Ting-Ting Y., Ching-Yen Ho. New Performance Driven Routing Techniques With Explicit Area Delay Tradeoff and Simultaneous Wire Sizing // Proc. Design Automation Conference. -June 2001. -P. 82-85.
313.
go back to reference Rezvani P., Ajami A., Pedram M., Savoj H. Leopard: A Logical Effort-Based Fanout Optimization for Area and Delay // Proc. of ICCAD. - November 1999. -P. 516-519. Rezvani P., Ajami A., Pedram M., Savoj H. Leopard: A Logical Effort-Based Fanout Optimization for Area and Delay // Proc. of ICCAD. - November 1999. -P. 516-519.
314.
go back to reference Berkelaar M. Area-Power-Delay Trade-off in Logic Synthesis // Ph.D Thesis / Eindhoven University of Technology. -1992. -124p. Berkelaar M. Area-Power-Delay Trade-off in Logic Synthesis // Ph.D Thesis / Eindhoven University of Technology. -1992. -124p.
315.
go back to reference Chaudhary K., Pedram M. Computing the Area versus Delay Trade-off Curves in Technology Mapping // IEEE Transactions on Computer- Aided Design of Integrated Circuits and Systems. -1995. -Vol. 14, No. 12. -P. 1480-1489. Chaudhary K., Pedram M. Computing the Area versus Delay Trade-off Curves in Technology Mapping // IEEE Transactions on Computer- Aided Design of Integrated Circuits and Systems. -1995. -Vol. 14, No. 12. -P. 1480-1489.
316.
go back to reference Jiang Y.M., Kristic A., Cheng K.T., Marek-Sadowska M. Post-Layout Logic Restructuring for Performance Optimization // Proc. Design Automation Conference. -June 1997. -P. 662-665. Jiang Y.M., Kristic A., Cheng K.T., Marek-Sadowska M. Post-Layout Logic Restructuring for Performance Optimization // Proc. Design Automation Conference. -June 1997. -P. 662-665.
317.
go back to reference Cheng Kwang-Ting. Post-Layout Logic Restructuring for Performance Optimization of Deep Submicron Design. Final Report for MICRO Projects. -1998. -P. 28. Cheng Kwang-Ting. Post-Layout Logic Restructuring for Performance Optimization of Deep Submicron Design. Final Report for MICRO Projects. -1998. -P. 28.
318.
go back to reference Chen W., Hsieh C.T., Pedram M. Simultaneous Gate Sizing and Placement // IEEE Transactions on CAD. -2001. -Vol. 19. -P. 206-214. Chen W., Hsieh C.T., Pedram M. Simultaneous Gate Sizing and Placement // IEEE Transactions on CAD. -2001. -Vol. 19. -P. 206-214.
319.
go back to reference Melikyan V., Hovhannisyan D. Optimization Techniques for High-Performance Digital Circuits // Fourth national conference on Semiconductor Microelectronics. -Tsakhcadzor, 2003. -P. 260-263. Melikyan V., Hovhannisyan D. Optimization Techniques for High-Performance Digital Circuits // Fourth national conference on Semiconductor Microelectronics. -Tsakhcadzor, 2003. -P. 260-263.
67.
go back to reference Pursley D., Yeh T. High-level low-power system design optimization//IEEE VLSI Design, Automation and Test (VLSI-DAT). -2017. -P. 1-4. Pursley D., Yeh T. High-level low-power system design optimization//IEEE VLSI Design, Automation and Test (VLSI-DAT). -2017. -P. 1-4.
68.
go back to reference Mishra S., Singh N. System on Chip Interfaces for Low Power Design. -Morgan Kaufmann; 1 edition, 2015. -406p. Mishra S., Singh N. System on Chip Interfaces for Low Power Design. -Morgan Kaufmann; 1 edition, 2015. -406p.
69.
go back to reference Roy K. Low-Power CMOS VLSI Circuit Design. -John Wiley & Sons Inc, 2003. -320p. Roy K. Low-Power CMOS VLSI Circuit Design. -John Wiley & Sons Inc, 2003. -320p.
70.
go back to reference Flynn D., Aitken R., Gibbons A., Shi K. Low Power Methodology Manual: For System-on-Chip Design. -Springer, 2011. -320p. Flynn D., Aitken R., Gibbons A., Shi K. Low Power Methodology Manual: For System-on-Chip Design. -Springer, 2011. -320p.
147.
go back to reference Bondyopadhyay P.K. Moore’s law governs the silicon revolution // Proceedings of the IEEE. -1998. -Vol. 86, No. 1. -P. 78-81. Bondyopadhyay P.K. Moore’s law governs the silicon revolution // Proceedings of the IEEE. -1998. -Vol. 86, No. 1. -P. 78-81.
256.
go back to reference Li Z., Wu W., Hong X. Incremental Placement Algorithm for Wirelength and Congestion Optimization//Chinese Journal of CAD/CG. -2003. -Vol. 15, No. 6. -P. 651-655. Li Z., Wu W., Hong X. Incremental Placement Algorithm for Wirelength and Congestion Optimization//Chinese Journal of CAD/CG. -2003. -Vol. 15, No. 6. -P. 651-655.
322.
go back to reference Alpert C. J., Devgan A., Quay S. Buffer Insertion for Noise and Delay Optimization // IEEE Trans. Computer-Aided Design. -Nov. 1999. -Vol. 18, No. 11. -P. 1633-1645. Alpert C. J., Devgan A., Quay S. Buffer Insertion for Noise and Delay Optimization // IEEE Trans. Computer-Aided Design. -Nov. 1999. -Vol. 18, No. 11. -P. 1633-1645.
323.
go back to reference Girard P., Landrault C., Pravossoudovitch S., Severac D. A gate resizing technique for high reduction in power consumption // Proceedings of the 1997 international symposium on Low power electronics and design. -1997. -P. 281-286. Girard P., Landrault C., Pravossoudovitch S., Severac D. A gate resizing technique for high reduction in power consumption // Proceedings of the 1997 international symposium on Low power electronics and design. -1997. -P. 281-286.
132.
go back to reference Wunder B., Lehmann G., Muller-Glaser K.D. VAMP: a VHDL based concept for accurate modeling and post layout timing simulation of electronic systems. // ACM/IEEE 33rd Design Automation Conference. -Las Vegas, 1996. -P. 119-124. Wunder B., Lehmann G., Muller-Glaser K.D. VAMP: a VHDL based concept for accurate modeling and post layout timing simulation of electronic systems. // ACM/IEEE 33rd Design Automation Conference. -Las Vegas, 1996. -P. 119-124.
320.
go back to reference Melikyan V.Sh., Hovhannisyan D.D. Algorithm of digital circuits restructuring // Simulation, optimization, control, SEUA, Yerevan, RA, Vol. 6, No 1, Yerevan, 2003. -P. 39-44. (in Armenian) Melikyan V.Sh., Hovhannisyan D.D. Algorithm of digital circuits restructuring // Simulation, optimization, control, SEUA, Yerevan, RA, Vol. 6, No 1, Yerevan, 2003. -P. 39-44. (in Armenian)
321.
go back to reference Chen W., Hsieh C.T., Pedram M. Simultaneous Gate Sizing and Fanout Optimization // IEEE Transactions on CAD. -2001. -Vol. 17, No 2, -P. 208-213. Chen W., Hsieh C.T., Pedram M. Simultaneous Gate Sizing and Fanout Optimization // IEEE Transactions on CAD. -2001. -Vol. 17, No 2, -P. 208-213.
297.
go back to reference Yazgi M., Kuntman H. A new approach for parameter extraction of complex models and an application for SPICE MOSFET level-3 static model // Microelectronics Journal. -1999. -Vol.30. -P. 149-155. Yazgi M., Kuntman H. A new approach for parameter extraction of complex models and an application for SPICE MOSFET level-3 static model // Microelectronics Journal. -1999. -Vol.30. -P. 149-155.
74.
go back to reference Basso Ch. Switch-Mode Power Supplies, SPICE Simulations and Practical Designs. -McGraw-Hill Education; 2 edition, 2014. -992p. Basso Ch. Switch-Mode Power Supplies, SPICE Simulations and Practical Designs. -McGraw-Hill Education; 2 edition, 2014. -992p.
75.
go back to reference Guofu N., Shiming Z. Gressler J. Modeling of single-event effects in circuits-hardened high-speed SiGe HBT logic // IEEE Transactions on Nuclear Science. -2001. -Vol. 48, No. 6. -P. 1849-1854. Guofu N., Shiming Z. Gressler J. Modeling of single-event effects in circuits-hardened high-speed SiGe HBT logic // IEEE Transactions on Nuclear Science. -2001. -Vol. 48, No. 6. -P. 1849-1854.
324.
go back to reference Iris Bahar R., Gary Hachtel D., Macii E., Somenzi F. A symbolic method to reduce power consumption of circuits containing false paths // ACM/IEEE International Conference on Computer Aided Design (ICCAD). -1994. -P. 368-371. Iris Bahar R., Gary Hachtel D., Macii E., Somenzi F. A symbolic method to reduce power consumption of circuits containing false paths // ACM/IEEE International Conference on Computer Aided Design (ICCAD). -1994. -P. 368-371.
325.
go back to reference Pedram M. Power Minimization in IC Design: Principles and Applications // ACM/IEEE 33rd Design Automation Conference. -Las Vegas, 1996. -P. 3-56. Pedram M. Power Minimization in IC Design: Principles and Applications // ACM/IEEE 33rd Design Automation Conference. -Las Vegas, 1996. -P. 3-56.
326.
go back to reference Kundert K.S., Zinke O. The Designer’s Guide to Verilog-AMS.-Kluwer Academic Publishers, 2004. -270p. Kundert K.S., Zinke O. The Designer’s Guide to Verilog-AMS.-Kluwer Academic Publishers, 2004. -270p.
131.
go back to reference Melikyan V.Sh., Hovhannisyan D.D. Delay minimization algorithm of critical paths of digital circuits // RAs National Academy of Science and SEUA. Vol. 57, No. 2, Yerevan, 2004. -P. 324-330. (in Russian) Melikyan V.Sh., Hovhannisyan D.D. Delay minimization algorithm of critical paths of digital circuits // RAs National Academy of Science and SEUA. Vol. 57, No. 2, Yerevan, 2004. -P. 324-330. (in Russian)
250.
go back to reference Gorshkov K. The simulation technique for large-scale tree structured interconnects // IEEE Industrial Engineering, Applications and Manufacturing (ICIEAM). -Chelyabinsk, Russia, 2016. -P. 1-6. Gorshkov K. The simulation technique for large-scale tree structured interconnects // IEEE Industrial Engineering, Applications and Manufacturing (ICIEAM). -Chelyabinsk, Russia, 2016. -P. 1-6.
251.
go back to reference Melikyan V.Sh. Optimization of timing parameters of digital circuits elements // Elektronika i svyaz, Vol. 4, No 2, Kiev, 1998. -P. 249-253. (in Russian) Melikyan V.Sh. Optimization of timing parameters of digital circuits elements // Elektronika i svyaz, Vol. 4, No 2, Kiev, 1998. -P. 249-253. (in Russian)
Metadata
Title
Optimization of Digital Circuits with Consideration of DF
Author
Vazgen Melikyan
Copyright Year
2018
DOI
https://doi.org/10.1007/978-3-319-71637-4_5