Skip to main content
Top

2014 | OriginalPaper | Chapter

2. Photonic Interconnects

Authors : Keren Bergman, Luca P. Carloni, Aleksandr Biberman, Johnnie Chan, Gilbert Hendry

Published in: Photonic Network-on-Chip Design

Publisher: Springer New York

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

This chapter describes the most important characteristics and performance metrics of chip-scale communications. Figure 2.1 illustrates the general structure of all optical communication channels, which comprises of the communicating nodes and the optical link itself. The optical link consists of three functional elements: (1) generation, (2) routing, and (3) reception. Generation happens near a source node and involves the creation of a waveform in the optical domain for transporting useful information. Routing is for controlling the movement of optical data so that the useful information can travel from the source node to the destination node. Lastly, reception enables the optical link to translate the useful information back into the electrical domain to be used by the computing resource at the destination node. These three components (generation, routing, and reception) encompass everything needed for optical communications.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference D. Van Thourhout, P. Dumon, W. Bogaerts, G. Roelkens, D. Taillaert, G. Priem, and R. Baets, “Recent progress in SOI nanophotonic waveguides”, in Optical Communication, 2005. ECOC 2005. 31st European Conference on, vol. 2, Sep. 2005, pp. 241–244. D. Van Thourhout, P. Dumon, W. Bogaerts, G. Roelkens, D. Taillaert, G. Priem, and R. Baets, “Recent progress in SOI nanophotonic waveguides”, in Optical Communication, 2005. ECOC 2005. 31st European Conference on, vol. 2, Sep. 2005, pp. 241–244.
2.
go back to reference F. Xia, L. Sekaric, and Y. Vlasov, “Ultracompact optical buffers on a silicon chip”, Nature Photonics, vol. 1, pp. 65–71, 2006. F. Xia, L. Sekaric, and Y. Vlasov, “Ultracompact optical buffers on a silicon chip”, Nature Photonics, vol. 1, pp. 65–71, 2006.
3.
go back to reference M. Gnan, S. Thorns, D. Macintyre, R. De La Rue, and M. Sorel, “Fabrication of low-loss photonic wires in silicon-on-insulator using hydrogen silsesquioxane electron-beam resist”, Electronics Letters, vol. 44, no. 2, pp. 115–116, Jan. 2008. M. Gnan, S. Thorns, D. Macintyre, R. De La Rue, and M. Sorel, “Fabrication of low-loss photonic wires in silicon-on-insulator using hydrogen silsesquioxane electron-beam resist”, Electronics Letters, vol. 44, no. 2, pp. 115–116, Jan. 2008.
4.
go back to reference J. Cardenas, C. B. Poitras, J. T. Robinson, K. Preston, L. Chen, and M. Lipson, “Low loss etchless silicon photonic waveguides”, OSA Optics Express, vol. 17, no. 6, pp. 4752–4757, 2009. J. Cardenas, C. B. Poitras, J. T. Robinson, K. Preston, L. Chen, and M. Lipson, “Low loss etchless silicon photonic waveguides”, OSA Optics Express, vol. 17, no. 6, pp. 4752–4757, 2009.
5.
go back to reference M. J. Shaw, J. Guo, G. A. Vawter, S. Habermehl, and C. T. Sullivan, “Fabrication techniques for low-loss silicon nitride waveguides”, Micromachining Technology for Micro-Optics and Nano-Optics III, vol. 5720, no. 1, pp. 109–118, 2005. M. J. Shaw, J. Guo, G. A. Vawter, S. Habermehl, and C. T. Sullivan, “Fabrication techniques for low-loss silicon nitride waveguides”, Micromachining Technology for Micro-Optics and Nano-Optics III, vol. 5720, no. 1, pp. 109–118, 2005.
6.
go back to reference W. Bogaerts, P. Dumon, D. V. Thourhout, and R. Baets, “Low-loss, low-cross-talk crossings for silicon-on-insulator nanophotonic waveguides”, OSA Optics Letters, vol. 32, no. 19, pp. 2801–2803, 2007. W. Bogaerts, P. Dumon, D. V. Thourhout, and R. Baets, “Low-loss, low-cross-talk crossings for silicon-on-insulator nanophotonic waveguides”, OSA Optics Letters, vol. 32, no. 19, pp. 2801–2803, 2007.
7.
go back to reference B. Little, J. Foresi, G. Steinmeyer, E. Thoen, S. Chu, H. Haus, E. Ippen, L. Kimerling, and W. Greene, “Ultra-compact Si-SiO\(_{2}\) microring resonator optical channel dropping filters”, IEEE Photonics Technology Letters, vol. 10, no. 4, pp. 549–551, Apr. 1998. B. Little, J. Foresi, G. Steinmeyer, E. Thoen, S. Chu, H. Haus, E. Ippen, L. Kimerling, and W. Greene, “Ultra-compact Si-SiO\(_{2}\) microring resonator optical channel dropping filters”, IEEE Photonics Technology Letters, vol. 10, no. 4, pp. 549–551, Apr. 1998.
8.
go back to reference B. Lee, A. Biberman, P. Dong, M. Lipson, and K. Bergman, “All-optical comb switch for multiwavelength message routing in silicon photonic networks”, IEEE Photonics Technology Letters, vol. 20, no. 10, pp. 767–769, May 2008. B. Lee, A. Biberman, P. Dong, M. Lipson, and K. Bergman, “All-optical comb switch for multiwavelength message routing in silicon photonic networks”, IEEE Photonics Technology Letters, vol. 20, no. 10, pp. 767–769, May 2008.
9.
go back to reference S. Manipatruni, Q. Xu, B. Schmidt, J. Shakya, and M. Lipson, “High speed carrier injection 18 Gb/s silicon micro-ring electro-optic modulator”, in The 20th Annual Meeting of the IEEE Lasers and Electro-Optics Society (LEOS), Oct. 2007, pp. 537–538. S. Manipatruni, Q. Xu, B. Schmidt, J. Shakya, and M. Lipson, “High speed carrier injection 18 Gb/s silicon micro-ring electro-optic modulator”, in The 20th Annual Meeting of the IEEE Lasers and Electro-Optics Society (LEOS), Oct. 2007, pp. 537–538.
10.
go back to reference H. Lira, S. Manipatruni, and M. Lipson, “Broadband hitless silicon electro-optic switch for optical networks on-chip”, in Group IV Photonics, 2009. GFP ’09. 6th IEEE International Conference on, Sep. 2009, pp. 253–255. H. Lira, S. Manipatruni, and M. Lipson, “Broadband hitless silicon electro-optic switch for optical networks on-chip”, in Group IV Photonics, 2009. GFP ’09. 6th IEEE International Conference on, Sep. 2009, pp. 253–255.
11.
go back to reference Y. Vlasov, W. M. J. Green, and F. Xia, “High-throughput silicon nanophotonic wavelength-insensitive switch for on-chip optical networks”, Nature Photonics, vol. 2, pp. 242–246, Apr. 2008. Y. Vlasov, W. M. J. Green, and F. Xia, “High-throughput silicon nanophotonic wavelength-insensitive switch for on-chip optical networks”, Nature Photonics, vol. 2, pp. 242–246, Apr. 2008.
12.
go back to reference Q. Xu, S. Manipatruni, B. Schmidt, J. Shakya, and M. Lipson, “12.5 Gbit/s carrier-injection-based silicon micro-ring silicon modulators”, OSA Optics Express, vol. 15, no. 2, pp. 430–436, 2007. Q. Xu, S. Manipatruni, B. Schmidt, J. Shakya, and M. Lipson, “12.5 Gbit/s carrier-injection-based silicon micro-ring silicon modulators”, OSA Optics Express, vol. 15, no. 2, pp. 430–436, 2007.
13.
go back to reference M. Watts, D. Trotter, R. Young, and A. Lentine, “Ultralow power silicon microdisk modulators and switches”, in 5th IEEE International Conference on Group IV Photonics, Sep. 2008, pp. 4–6. M. Watts, D. Trotter, R. Young, and A. Lentine, “Ultralow power silicon microdisk modulators and switches”, in 5th IEEE International Conference on Group IV Photonics, Sep. 2008, pp. 4–6.
14.
go back to reference J. Chan, G. Hendry, K. Bergman, and L. Carloni, “Physical-layer modeling and system-level design of chip-scale photonic interconnection networks”, Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol. 30, no. 10, pp. 1507–1520, Oct. 2011. J. Chan, G. Hendry, K. Bergman, and L. Carloni, “Physical-layer modeling and system-level design of chip-scale photonic interconnection networks”, Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol. 30, no. 10, pp. 1507–1520, Oct. 2011.
15.
go back to reference M. Lipson, “Compact electro-optic modulators on a silicon chip”, IEEE Journal of Selected Topics in Quantum Electronics (JSTQE), vol. 12, no. 6, pp. 1520–1526, Nov.-Dec. 2006. M. Lipson, “Compact electro-optic modulators on a silicon chip”, IEEE Journal of Selected Topics in Quantum Electronics (JSTQE), vol. 12, no. 6, pp. 1520–1526, Nov.-Dec. 2006.
16.
go back to reference W. Green, H. Hamann, L. Sekaric, M. Rooks, and Y. Vlasov, “Ultra-compact reconfigurable silicon optical devices using micron-scale localized thermal heating”, in Proceedings of Optical Fiber Communication Conference (OFC), Mar. 2007. W. Green, H. Hamann, L. Sekaric, M. Rooks, and Y. Vlasov, “Ultra-compact reconfigurable silicon optical devices using micron-scale localized thermal heating”, in Proceedings of Optical Fiber Communication Conference (OFC), Mar. 2007.
17.
go back to reference K. Preston, N. Sherwood-Droz, J. Levy, and M. Lipson, “Performance guidelines for WDM interconnects based on silicon microring resonators”, in Lasers and Electro-Optics (CLEO), 2011 Conference on, May 2011. K. Preston, N. Sherwood-Droz, J. Levy, and M. Lipson, “Performance guidelines for WDM interconnects based on silicon microring resonators”, in Lasers and Electro-Optics (CLEO), 2011 Conference on, May 2011.
18.
go back to reference J. Feng, Q. Li, and Z. Zhou, “Single ring interferometer configuration with doubled free-spectral range”, Photonics Technology Letters, IEEE, vol. 23, no. 2, pp. 79–81, Jan. 2011. J. Feng, Q. Li, and Z. Zhou, “Single ring interferometer configuration with doubled free-spectral range”, Photonics Technology Letters, IEEE, vol. 23, no. 2, pp. 79–81, Jan. 2011.
19.
go back to reference J. Garcia, A. Martinez, and J. Marti, “Optical add-drop multiplexer with FSR higher than 140 nm using ring resonators and photonic bandgap structures”, in Group IV Photonics, 2008 5th IEEE International Conference on, Sep. 2008, pp. 82–84. J. Garcia, A. Martinez, and J. Marti, “Optical add-drop multiplexer with FSR higher than 140 nm using ring resonators and photonic bandgap structures”, in Group IV Photonics, 2008 5th IEEE International Conference on, Sep. 2008, pp. 82–84.
20.
go back to reference Y. Yanagase, S. Suzuki, Y. Kokubun, and S. T. Chu, “Box-like filter response and expansion of FSR by a vertically triple coupled microring resonator filter”, Lightwave Technology, Journal of, vol. 20, no. 8, pp. 1525–1529, Aug. 2002. Y. Yanagase, S. Suzuki, Y. Kokubun, and S. T. Chu, “Box-like filter response and expansion of FSR by a vertically triple coupled microring resonator filter”, Lightwave Technology, Journal of, vol. 20, no. 8, pp. 1525–1529, Aug. 2002.
21.
go back to reference A. X. Widmer and P. A. Franaszek, “A DC-balanced, partitioned-block, 8 b/10 b transmission code”, IBM J. Res. Dev., vol. 27, no. 5, pp. 440–451, Sep. 1983. A. X. Widmer and P. A. Franaszek, “A DC-balanced, partitioned-block, 8 b/10 b transmission code”, IBM J. Res. Dev., vol. 27, no. 5, pp. 440–451, Sep. 1983.
22.
go back to reference V. Maxwell, “Optical networking”, Potentials, IEEE, vol. 18, no. 1, pp. 26–28, Feb.-Mar. 1999. V. Maxwell, “Optical networking”, Potentials, IEEE, vol. 18, no. 1, pp. 26–28, Feb.-Mar. 1999.
23.
go back to reference H. Sutter, “The Free Lunch Is Over: A Fundamental Turn Toward Concurrency in Software”, Dr. Dobb’s Journal, vol. 30, no. 3, 2005. H. Sutter, “The Free Lunch Is Over: A Fundamental Turn Toward Concurrency in Software”, Dr. Dobb’s Journal, vol. 30, no. 3, 2005.
26.
go back to reference C. Severance, “Firewire finally comes home”, Computer, vol. 31, no. 11, pp. 117–118, Nov. 1998. C. Severance, “Firewire finally comes home”, Computer, vol. 31, no. 11, pp. 117–118, Nov. 1998.
27.
go back to reference S. Kimura, T. Hayakawa, T. Horiyama, M. Nakanishi, K. Watanabe, “An on-chip high speed serial communication method based on independent ring oscillators”, in Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC. 2003 IEEE, International, vol. 1, pp. 390–391, 2003. S. Kimura, T. Hayakawa, T. Horiyama, M. Nakanishi, K. Watanabe, “An on-chip high speed serial communication method based on independent ring oscillators”, in Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC. 2003 IEEE, International, vol. 1, pp. 390–391, 2003.
28.
go back to reference U. Singh, L. Li, and M. Green, “A 34 Gb/s 2:1 MUX/CMU based on a distributed amplifier using 0.18 \(\upmu \)m CMOS”, in VLSI Circuits, 2005. Digest of Technical Papers. 2005 Symposium on, Jun. 2005, pp. 132–135. U. Singh, L. Li, and M. Green, “A 34 Gb/s 2:1 MUX/CMU based on a distributed amplifier using 0.18 \(\upmu \)m CMOS”, in VLSI Circuits, 2005. Digest of Technical Papers. 2005 Symposium on, Jun. 2005, pp. 132–135.
29.
go back to reference A. Rylyakov, C. Schow, B. Lee, W. Green, J. Van Campenhout, M. Yang, F. Doany, S. Assefa, C. Jahnes, J. Kash, and Y. Vlasov, “A 3.9 ns 8.9 mW \(4\times 4\) silicon photonic switch hybrid integrated with CMOS driver”, in Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2011 IEEE International, Feb. 2011, pp. 222–224. A. Rylyakov, C. Schow, B. Lee, W. Green, J. Van Campenhout, M. Yang, F. Doany, S. Assefa, C. Jahnes, J. Kash, and Y. Vlasov, “A 3.9 ns 8.9 mW \(4\times 4\) silicon photonic switch hybrid integrated with CMOS driver”, in Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2011 IEEE International, Feb. 2011, pp. 222–224.
30.
go back to reference N. Ophir, K. Padmaraju, A. Biberman, L. Chen, K. Preston, M. Lipson, and K. Bergman, “First demonstration of error-free operation of a full silicon on-chip photonic link”, in Optical Fiber Communication Conference, 2011, p. OWZ3. N. Ophir, K. Padmaraju, A. Biberman, L. Chen, K. Preston, M. Lipson, and K. Bergman, “First demonstration of error-free operation of a full silicon on-chip photonic link”, in Optical Fiber Communication Conference, 2011, p. OWZ3.
31.
go back to reference C. Batten, A. Joshi, J. Orcutt, A. Khilo, B. Moss, C. Holzwarth, M. Popovic, H. Li, H. Smith, J. Hoyt, F. Kartner, R. Ram, V. Stojanovic, and K. Asanovic, “Building many-core processor-to-DRAM networks with monolithic CMOS silicon photonics”, IEEE Micro, vol. 29, no. 4, pp. 8–21, Jul.-Aug. 2009. C. Batten, A. Joshi, J. Orcutt, A. Khilo, B. Moss, C. Holzwarth, M. Popovic, H. Li, H. Smith, J. Hoyt, F. Kartner, R. Ram, V. Stojanovic, and K. Asanovic, “Building many-core processor-to-DRAM networks with monolithic CMOS silicon photonics”, IEEE Micro, vol. 29, no. 4, pp. 8–21, Jul.-Aug. 2009.
32.
go back to reference N. Kirman, M. Kirman, R. K. Dokania, J. F. Martinez, A. B. Apsel, M. A. Watkins, and D. H. Albonesi, “On-chip optical technology in future bus-based multicore designs”, IEEE Micro, vol. 27, no. 1, pp. 56–66, 2007. N. Kirman, M. Kirman, R. K. Dokania, J. F. Martinez, A. B. Apsel, M. A. Watkins, and D. H. Albonesi, “On-chip optical technology in future bus-based multicore designs”, IEEE Micro, vol. 27, no. 1, pp. 56–66, 2007.
33.
go back to reference M. J. Cianchetti, J. C. Kerekes, and D. H. Albonesi, “Phastlane: a rapid transit optical routing network”, in Proceedings of the 36th Annual International Symposium on Computer Architecture (ISCA), 2009, pp. 441–450. M. J. Cianchetti, J. C. Kerekes, and D. H. Albonesi, “Phastlane: a rapid transit optical routing network”, in Proceedings of the 36th Annual International Symposium on Computer Architecture (ISCA), 2009, pp. 441–450.
34.
go back to reference D. Vantrease, R. Schreiber, M. Monchiero, M. McLaren, N. P. Jouppi, M. Fiorentino, A. Davis, N. Binkert, R. G. Beausoleil, and J. H. Ahn, “Corona: System implications of emerging nanophotonic technology”, Proceedings of the 35th Annual International Symposium on Computer Architecture (ISCA), vol. 0, pp. 153–164, Jun. 2008. D. Vantrease, R. Schreiber, M. Monchiero, M. McLaren, N. P. Jouppi, M. Fiorentino, A. Davis, N. Binkert, R. G. Beausoleil, and J. H. Ahn, “Corona: System implications of emerging nanophotonic technology”, Proceedings of the 35th Annual International Symposium on Computer Architecture (ISCA), vol. 0, pp. 153–164, Jun. 2008.
35.
go back to reference A. Shacham, K. Bergman, and L. Carloni, “Photonic networks-on-chip for future generations of chip multiprocessors”, IEEE Transactions on Computers, vol. 57, no. 9, pp. 1246–1260, Sep. 2008. A. Shacham, K. Bergman, and L. Carloni, “Photonic networks-on-chip for future generations of chip multiprocessors”, IEEE Transactions on Computers, vol. 57, no. 9, pp. 1246–1260, Sep. 2008.
36.
go back to reference G. Hendry, S. Kamil, A. Biberman, J. Chan, B. Lee, M. Mohiyuddin, A. Jain, K. Bergman, L. Carloni, J. Kubiatowicz, L. Oliker, and J. Shalf, “Analysis of photonic networks for a chip multiprocessor using scientific applications”, in Proceedings of the 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip (NOCS), May 2009, pp. 104–113. G. Hendry, S. Kamil, A. Biberman, J. Chan, B. Lee, M. Mohiyuddin, A. Jain, K. Bergman, L. Carloni, J. Kubiatowicz, L. Oliker, and J. Shalf, “Analysis of photonic networks for a chip multiprocessor using scientific applications”, in Proceedings of the 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip (NOCS), May 2009, pp. 104–113.
37.
go back to reference J. Chan, G. Hendry, A. Biberman, and K. Bergman, “Architectural exploration of chip-scale photonic interconnection network designs using physical-layer analysis”, IEEE/OSA Journal of Lightwave Technology, vol. 28, no. 9, pp. 1305–1315, May 2010. J. Chan, G. Hendry, A. Biberman, and K. Bergman, “Architectural exploration of chip-scale photonic interconnection network designs using physical-layer analysis”, IEEE/OSA Journal of Lightwave Technology, vol. 28, no. 9, pp. 1305–1315, May 2010.
38.
go back to reference G. Hendry, J. Chan, S. Kamil, L. Oliker, J. Shalf, L. Carloni, and K. Bergman, “Silicon nanophotonic network-on-chip using TDM arbitration”, in 2010 IEEE 18th Annual Symposium on High Performance Interconnects (HOTI), Aug. 2010, pp. 88–95. G. Hendry, J. Chan, S. Kamil, L. Oliker, J. Shalf, L. Carloni, and K. Bergman, “Silicon nanophotonic network-on-chip using TDM arbitration”, in 2010 IEEE 18th Annual Symposium on High Performance Interconnects (HOTI), Aug. 2010, pp. 88–95.
39.
go back to reference J. Chan and K. Bergman, “Photonic interconnection network architectures using wavelength-selective spatial routing for chip-scale communications”, J. Opt. Commun. Netw., vol. 4, no. 3, pp. 189–201, Mar. 2012. J. Chan and K. Bergman, “Photonic interconnection network architectures using wavelength-selective spatial routing for chip-scale communications”, J. Opt. Commun. Netw., vol. 4, no. 3, pp. 189–201, Mar. 2012.
Metadata
Title
Photonic Interconnects
Authors
Keren Bergman
Luca P. Carloni
Aleksandr Biberman
Johnnie Chan
Gilbert Hendry
Copyright Year
2014
Publisher
Springer New York
DOI
https://doi.org/10.1007/978-1-4419-9335-9_2