Skip to main content
Top
Published in: The Journal of Supercomputing 1/2014

01-10-2014

Probabilistic odd–even: an adaptive wormhole routing algorithm for 2D mesh network-on-chip

Authors: Su Hu, Wenzheng Xu, Jing Lin, Xiaola Lin

Published in: The Journal of Supercomputing | Issue 1/2014

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Wormhole routing is a popular routing technique used in network-on-chip. It is efficient but susceptible to deadlock, while deadlock will significantly degrade the network performance of NoC. Most existing adaptive wormhole routings avoid deadlock by reducing the degree of adaptiveness and thus sacrificing network performance. In this paper, we address both deadlock and network performance issues jointly, and propose a probabilistic odd–even (POE) routing algorithm that achieves the minimum packet delivery delay. The proposed POE dynamically adjusts the probabilities of constrained turns that may lead to deadlocks according to the current network conditions, and uses an efficient deadlock detection and recovery scheme when a deadlock happens. By adopting constrained turns adaptively to the network status, it not only reduces the frequency of deadlock and allows the network to be swiftly recovered when it occurs, but also greatly improves the degree of adaptiveness to obtain high network performance. Experimental results show that our method achieves a significant performance improvement both in terms of network throughput and average packet latency compared with the existing methods such as XY, odd–even, abacus turn model and fully adaptive routing algorithm while it only has moderate energy consumption.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Footnotes
1
A north-west turn is made when a packet changes its direction from north to west [8].
 
2
A column is called an even (or odd) column if its coordinate in dimension-x is an even (or odd) number [8].
 
Literature
1.
go back to reference Oskin M, Torrellas J (2010) Laying a new foundation for IT: computer architecture for 2025 and beyond. In: Workshop on advancing computer architecture research (ACAR-II), September 20–21, 2010, Seattle Oskin M, Torrellas J (2010) Laying a new foundation for IT: computer architecture for 2025 and beyond. In: Workshop on advancing computer architecture research (ACAR-II), September 20–21, 2010, Seattle
2.
go back to reference O’Kelly P (2013) Computer simulation of thermal plant operations. Costec Systems Pty Ltd., Brookvale (Sydney), p 32 O’Kelly P (2013) Computer simulation of thermal plant operations. Costec Systems Pty Ltd., Brookvale (Sydney), p 32
3.
go back to reference Semiconductor Association (2006) The international technology roadmap for semiconductors (ITRS) Semiconductor Association (2006) The international technology roadmap for semiconductors (ITRS)
4.
go back to reference Bjerregaard T, Mahadevan S (2006) A survey of research and practices of network-on-chip. ACM Comput Surveys 38:1–51CrossRef Bjerregaard T, Mahadevan S (2006) A survey of research and practices of network-on-chip. ACM Comput Surveys 38:1–51CrossRef
5.
go back to reference Nikitin N, Chatterjee S, Cortadella J, Kishinevsky M, Ogras U (2010) Physical-aware link allocation and route assignment for chip multiprocessing. In: ACM/IEEE international symposium on networks-on-chip (NoCs) Nikitin N, Chatterjee S, Cortadella J, Kishinevsky M, Ogras U (2010) Physical-aware link allocation and route assignment for chip multiprocessing. In: ACM/IEEE international symposium on networks-on-chip (NoCs)
6.
go back to reference Mak T, Cheung PYK, Lam K, Luk W (2011) Adaptive routing in network-on-chips using a dynamic-programming network. IEEE Trans Ind Electr 58(8):3701–3716CrossRef Mak T, Cheung PYK, Lam K, Luk W (2011) Adaptive routing in network-on-chips using a dynamic-programming network. IEEE Trans Ind Electr 58(8):3701–3716CrossRef
7.
go back to reference Duato J, Yalamanchili S, Ni L (2004) Interconnection networks an engineering approach. Morgan Kaufmann, San Francisco, pp 55–57 Duato J, Yalamanchili S, Ni L (2004) Interconnection networks an engineering approach. Morgan Kaufmann, San Francisco, pp 55–57
8.
go back to reference Chiu GM (2000) The odd-even turn model for adaptive routing. IEEE Trans Parallel Distrib Syst 11:729–738CrossRef Chiu GM (2000) The odd-even turn model for adaptive routing. IEEE Trans Parallel Distrib Syst 11:729–738CrossRef
9.
go back to reference Duato J (1993) A new theory of deadlock-free adaptive routing in wormhole networks. IEEE Trans Parallel Distrib Syst 4(12):1320–1331CrossRef Duato J (1993) A new theory of deadlock-free adaptive routing in wormhole networks. IEEE Trans Parallel Distrib Syst 4(12):1320–1331CrossRef
10.
go back to reference Anjan KV, Pinkston TM (1995) An efficient fully adaptive deadlock recovery scheme: DISHA. In: Proceedings of the 22nd international symposium on computer architecture, pp 201–210 Anjan KV, Pinkston TM (1995) An efficient fully adaptive deadlock recovery scheme: DISHA. In: Proceedings of the 22nd international symposium on computer architecture, pp 201–210
11.
go back to reference Ni LM, McKinley PK (1993) A survey of wormhole routing techniques in direct networks. Computer 26(2):62–76CrossRef Ni LM, McKinley PK (1993) A survey of wormhole routing techniques in direct networks. Computer 26(2):62–76CrossRef
12.
go back to reference Glass CJ, Ni LM (1992) The turn model for adaptive routing. In: Proceedings of 19th annual international symposium on computer architecture, pp 278–287 Glass CJ, Ni LM (1992) The turn model for adaptive routing. In: Proceedings of 19th annual international symposium on computer architecture, pp 278–287
13.
go back to reference Fu B, Han Y, Ma J, Li H, Li X (2011) An abacus turn model for time/space-efficient reconfigutable routing. In: Proceedings of annual international symposium on computer architecture Fu B, Han Y, Ma J, Li H, Li X (2011) An abacus turn model for time/space-efficient reconfigutable routing. In: Proceedings of annual international symposium on computer architecture
14.
go back to reference Joshi A, Mutyam M (2011) Prevention flow-control for low latency torus networks-on-chip. In: ACM/IEEE international symposium on networks-on-chip (NoCs) Joshi A, Mutyam M (2011) Prevention flow-control for low latency torus networks-on-chip. In: ACM/IEEE international symposium on networks-on-chip (NoCs)
15.
go back to reference Jin Y, Kim EJ, Yum KH (2010) Design and analysis of on-chip networks for large-scale cache systems. IEEE Trans Comput 59(3):332–344 Jin Y, Kim EJ, Yum KH (2010) Design and analysis of on-chip networks for large-scale cache systems. IEEE Trans Comput 59(3):332–344
16.
go back to reference Zhao D, Wu R (2012) Overlaid mesh topology design and deadlock free routing in wireless network-on-chip. In: ACM/IEEE international symposium on networks-on-chip (NoCs) Zhao D, Wu R (2012) Overlaid mesh topology design and deadlock free routing in wireless network-on-chip. In: ACM/IEEE international symposium on networks-on-chip (NoCs)
17.
go back to reference Kinsy MA, Cho MH, Shim KS, Lis M, Suh GE, Devadas S (2013) Optimal and heuristic application-aware oblivious routing. IEEE Trans Comput 62(1):59–73 Kinsy MA, Cho MH, Shim KS, Lis M, Suh GE, Devadas S (2013) Optimal and heuristic application-aware oblivious routing. IEEE Trans Comput 62(1):59–73
18.
go back to reference Ramanujam RS, Lin B (2013) Randomized Throughput-optimal oblivious routing for torus networks. IEEE Trans Comput 62(3):561–574MathSciNetCrossRef Ramanujam RS, Lin B (2013) Randomized Throughput-optimal oblivious routing for torus networks. IEEE Trans Comput 62(3):561–574MathSciNetCrossRef
19.
go back to reference Ebrahimi M, Daneshtalab M, Farahnakian F, Plosila J, Liljeberg P, Palesi M, Tenhunen H (2012) HARAQ: congestion-aware learning model for highly adaptive routing algorithm in on-chip networks. In: ACM/IEEE international symposium on networks-on-chip (NoCs) Ebrahimi M, Daneshtalab M, Farahnakian F, Plosila J, Liljeberg P, Palesi M, Tenhunen H (2012) HARAQ: congestion-aware learning model for highly adaptive routing algorithm in on-chip networks. In: ACM/IEEE international symposium on networks-on-chip (NoCs)
20.
go back to reference Matsutani H, Take Y, Sasaki D, Kimura M, Ono Y, Nishiyama Y, Koibuchi M, Kuroda T, Amano H (2011) A vertical bubble flow network using inductive-coupling for 3-D CMPs. In: ACM/IEEE international symposium on networks-on-chip (NoCs) Matsutani H, Take Y, Sasaki D, Kimura M, Ono Y, Nishiyama Y, Koibuchi M, Kuroda T, Amano H (2011) A vertical bubble flow network using inductive-coupling for 3-D CMPs. In: ACM/IEEE international symposium on networks-on-chip (NoCs)
21.
go back to reference Hu J, Marculescu R (2004) DyAD: smart routing for networks-on-chip. In: ACM/IEEE design automation conference (DAC) Hu J, Marculescu R (2004) DyAD: smart routing for networks-on-chip. In: ACM/IEEE design automation conference (DAC)
22.
go back to reference Cong J, Liu CY, Reinman G (2010) ACES: application-specific cycle elimination and splitting for deadlock-free routing on irregular network-on-chip. In: ACM/IEEE design automation conference (DAC) Cong J, Liu CY, Reinman G (2010) ACES: application-specific cycle elimination and splitting for deadlock-free routing on irregular network-on-chip. In: ACM/IEEE design automation conference (DAC)
23.
go back to reference Dally WJ, Aoki H (1993) Deadlock-free adaptive routing in multicomputer networks using virtual channels. IEEE Trans Parallel Distrib Syst 4(4):466–475CrossRef Dally WJ, Aoki H (1993) Deadlock-free adaptive routing in multicomputer networks using virtual channels. IEEE Trans Parallel Distrib Syst 4(4):466–475CrossRef
24.
go back to reference Ascia G, Catania V, Palesi M (2008) Implementation and analysis of a new selection strategy for adaptive routing in networks-on-chip. IEEE Trans Comput 57:809–820MathSciNetCrossRef Ascia G, Catania V, Palesi M (2008) Implementation and analysis of a new selection strategy for adaptive routing in networks-on-chip. IEEE Trans Comput 57:809–820MathSciNetCrossRef
25.
go back to reference Shin M, Kim J (2011) Leveraging torus topology with deadlock recovery for cost-efficient on-chip network. In: Proceedings IEEE 29th international conference on computer design (ICCD), pp 25–30 Shin M, Kim J (2011) Leveraging torus topology with deadlock recovery for cost-efficient on-chip network. In: Proceedings IEEE 29th international conference on computer design (ICCD), pp 25–30
26.
go back to reference Lankes A, Wild T, Herkersdorf A, Sonntag S, Reinig H (2010) Comparison of deadlock recovery and avoidance mechanisms to approach message dependent deadlocks in on-chip networks. In: ACM/IEEE international symposium on networks-on-chip (NoCs) Lankes A, Wild T, Herkersdorf A, Sonntag S, Reinig H (2010) Comparison of deadlock recovery and avoidance mechanisms to approach message dependent deadlocks in on-chip networks. In: ACM/IEEE international symposium on networks-on-chip (NoCs)
27.
go back to reference Tsai W, Chu K, Chen S, Hu Y (2010) TM-FAR: turn-model based fully adaptive routing for networks on chip. In: Proceedings of the 18th IEEE/IFIP VLSI system on chip conference (VLSI-SoC), pp 19–24 Tsai W, Chu K, Chen S, Hu Y (2010) TM-FAR: turn-model based fully adaptive routing for networks on chip. In: Proceedings of the 18th IEEE/IFIP VLSI system on chip conference (VLSI-SoC), pp 19–24
28.
go back to reference Hu S, Lin X (2012) A symmetric odd-even routing model in network-on-chip. In: Proceedings of the IEEE/ACIS 11th international conference on computer and information science (ICIS), pp 457–462 Hu S, Lin X (2012) A symmetric odd-even routing model in network-on-chip. In: Proceedings of the IEEE/ACIS 11th international conference on computer and information science (ICIS), pp 457–462
30.
go back to reference Dujaily RA, Mak T, Yakovlev A, Palesi M (2012) Embedded transitive closure network for run-time deadlock detection in networks-on-chip. IEEE Trans Parallel Distrib Syst 23(7):1205–1215 Dujaily RA, Mak T, Yakovlev A, Palesi M (2012) Embedded transitive closure network for run-time deadlock detection in networks-on-chip. IEEE Trans Parallel Distrib Syst 23(7):1205–1215
31.
go back to reference Kakoee MR, Bertacco V, Benini L (2011) A distributed and topology-agnostic approach for on-line NoC testing. In: ACM/IEEE international symposium on networks-on-chip (NoCs) Kakoee MR, Bertacco V, Benini L (2011) A distributed and topology-agnostic approach for on-line NoC testing. In: ACM/IEEE international symposium on networks-on-chip (NoCs)
Metadata
Title
Probabilistic odd–even: an adaptive wormhole routing algorithm for 2D mesh network-on-chip
Authors
Su Hu
Wenzheng Xu
Jing Lin
Xiaola Lin
Publication date
01-10-2014
Publisher
Springer US
Published in
The Journal of Supercomputing / Issue 1/2014
Print ISSN: 0920-8542
Electronic ISSN: 1573-0484
DOI
https://doi.org/10.1007/s11227-014-1250-6

Other articles of this Issue 1/2014

The Journal of Supercomputing 1/2014 Go to the issue

Premium Partner