Skip to main content
Top

2015 | OriginalPaper | Chapter

Pulsed Ultra-Wideband Transceivers

Authors : Patrick P. Mercier, Denis C. Daly, Fred S. Lee, David D. Wentzloff, Anantha P. Chandrakasan

Published in: Ultra-Low-Power Short-Range Radios

Publisher: Springer International Publishing

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Ultra-wideband (UWB) radios offer tremendous promise in terms of achievable data rates due to the large capacity afforded by their inherently large occupied bandwidth. While achieving ultra-high data rates may have been one of the original intents of UWB radios, pulsed-UWB radios have another potential advantage over their narrowband counterparts: energy. By exploiting the large available bandwidth in conjunction with non-coherent signaling, low-complexity and ultra-energy-efficient transmitters can be designed using all-digital architectures that do not require the use of a PLL. Similarly, energy-detecting receivers can receive pulses with low energy-per-bit at high data rates and can be rapidly duty-cycled to minimize overall power consumption. This chapter outlines the main challenges in UWB design, while discussing several representative receiver and transmitter implementations in detail.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Footnotes
1
Author contributed equally.
 
2
The FCC also limits the peak power of a UWB signal to be less than 0 dBm in a 50 MHz resolution bandwidth, though this is often well above the noise floor.
 
3
Note that in-phase and quadrature paths are often used to enable quadrature amplitude modulation (QAM) for high data-rate communication.
 
4
The transmitter must still adhere to any pulse shape regulations to be standards-compliant.
 
Literature
1.
go back to reference M. Baghaei-Nejad, D.S. Mendoza, Z. Zou, S. Radiom, G. Gielen, L.-R. Zheng, H. Tenhunen, A remote-powered RFID tag with 10Mb/s UWB uplink and − 18. 5 dBm sensitivity UHF downlink in 0.18 \(\upmu\) m CMOS, in Proceeding of the IEEEE ISSCC Digest Technical Papers (February, 2009), pp. 198–199 M. Baghaei-Nejad, D.S. Mendoza, Z. Zou, S. Radiom, G. Gielen, L.-R. Zheng, H. Tenhunen, A remote-powered RFID tag with 10Mb/s UWB uplink and − 18. 5 dBm sensitivity UHF downlink in 0.18 \(\upmu\) m CMOS, in Proceeding of the IEEEE ISSCC Digest Technical Papers (February, 2009), pp. 198–199
2.
go back to reference ABM10: Ceramic SMD Ultra Miniature Quartz Crystal, Abracon, Technical Report (2008) ABM10: Ceramic SMD Ultra Miniature Quartz Crystal, Abracon, Technical Report (2008)
3.
go back to reference M.-G.D. Benedetto, T. Kaiser, A.F. Molisch, I. Oppermann, C. Politano, D. Porcino, UWB Communication Systems: A Comprehensive Overview (Hindawi Publishing Corporation, New York, 2006)CrossRef M.-G.D. Benedetto, T. Kaiser, A.F. Molisch, I. Oppermann, C. Politano, D. Porcino, UWB Communication Systems: A Comprehensive Overview (Hindawi Publishing Corporation, New York, 2006)CrossRef
4.
go back to reference M. Bhardwaj, Communications in the observation limited regime, Ph.D. thesis, Massachusetts Institute of Technology, 2009 M. Bhardwaj, Communications in the observation limited regime, Ph.D. thesis, Massachusetts Institute of Technology, 2009
5.
go back to reference J.L. Bohorquez, A.P. Chandrakasan, J.L. Dawson, A 350 W CMOS MSK transmitter and 400 W OOK super-regenerative receiver for medical implant communications. IEEE J. Solid State Circuits 44(4), 1248–1259. J.L. Bohorquez, A.P. Chandrakasan, J.L. Dawson, A 350 W CMOS MSK transmitter and 400 W OOK super-regenerative receiver for medical implant communications. IEEE J. Solid State Circuits 44(4), 1248–1259.
6.
go back to reference A. Bozkurt, R. Gilmour, D. Stern, A. Lal, MEMS based bioelectronic neuromuscular interfaces for insect cyborg flight control, in Proceedings of the IEEE International Conference on MEMS Systems (January, 2008), pp. 160–163 A. Bozkurt, R. Gilmour, D. Stern, A. Lal, MEMS based bioelectronic neuromuscular interfaces for insect cyborg flight control, in Proceedings of the IEEE International Conference on MEMS Systems (January, 2008), pp. 160–163
7.
go back to reference J. Brown, K.-K. Huang, E. Ansari, R. Rogel, Y. Lee, D. Wentzloff, An ultra-low-power 9.8ghz crystal-less uwb transceiver with digital baseband integrated in 0.18 μm bicmos, in IEEE ISSCC Digest of Technical Papers (February, 2013), pp. 442–443 J. Brown, K.-K. Huang, E. Ansari, R. Rogel, Y. Lee, D. Wentzloff, An ultra-low-power 9.8ghz crystal-less uwb transceiver with digital baseband integrated in 0.18 μm bicmos, in IEEE ISSCC Digest of Technical Papers (February, 2013), pp. 442–443
8.
go back to reference B.H. Calhoun, D.C. Daly, N. Verma, D. Finchelstein, D.D. Wentzloff, A. Wang, S.-H. Cho, A.P. Chandrakasan, Design considerations for ultra-low energy wireless microsensor nodes. IEEE Trans. Comput. 54(6), 727–749 (2005)CrossRef B.H. Calhoun, D.C. Daly, N. Verma, D. Finchelstein, D.D. Wentzloff, A. Wang, S.-H. Cho, A.P. Chandrakasan, Design considerations for ultra-low energy wireless microsensor nodes. IEEE Trans. Comput. 54(6), 727–749 (2005)CrossRef
9.
go back to reference X. Chen, S. Kiaei, Pulse generation scheme for low-power low-complexity impulse ultra-wideband. IEE Electron. Lett. 43(1), 44–45 (2007)CrossRef X. Chen, S. Kiaei, Pulse generation scheme for low-power low-complexity impulse ultra-wideband. IEE Electron. Lett. 43(1), 44–45 (2007)CrossRef
10.
go back to reference J.-Y. Chen, M. Flynn, J. Hayes, A fully integrated auto-calibrated super-regenerative receiver, in Proceeding of the IEEE ISSCC Digest Technical Papers (2006), pp. 1490–1499 J.-Y. Chen, M. Flynn, J. Hayes, A fully integrated auto-calibrated super-regenerative receiver, in Proceeding of the IEEE ISSCC Digest Technical Papers (2006), pp. 1490–1499
11.
go back to reference G. Chen, S. Hanson, D. Blaauw, D. Sylvester, Circuit design advances for wireless sensing applications. Proc. IEEE 98(11), 1808–1827 (November, 2010)CrossRef G. Chen, S. Hanson, D. Blaauw, D. Sylvester, Circuit design advances for wireless sensing applications. Proc. IEEE 98(11), 1808–1827 (November, 2010)CrossRef
12.
go back to reference P. Choi, H. Park, I. Nam, K. Kang, Y. Ku, S. Shin, S. Park, T. Kim, H. Choi, S. Kim, S.M. Park, M. Kim, S. Park, K. Lee, An experimental coin-sized radio for extremely low power WPAN (IEEE802.15.4) application at 2.4GHz, in Proceeding of the IEEE ISSCC Digest Technical Papers (February, 2003), pp. 92–480 P. Choi, H. Park, I. Nam, K. Kang, Y. Ku, S. Shin, S. Park, T. Kim, H. Choi, S. Kim, S.M. Park, M. Kim, S. Park, K. Lee, An experimental coin-sized radio for extremely low power WPAN (IEEE802.15.4) application at 2.4GHz, in Proceeding of the IEEE ISSCC Digest Technical Papers (February, 2003), pp. 92–480
13.
go back to reference D.C. Daly, A.P. Chandrakasan, A 6b 0.2-to-0.9V highly digital flash ADC with comparator redundancy, in IEEE ISSCC Digest Technical Papers (February, 2008), pp. 554–555 D.C. Daly, A.P. Chandrakasan, A 6b 0.2-to-0.9V highly digital flash ADC with comparator redundancy, in IEEE ISSCC Digest Technical Papers (February, 2008), pp. 554–555
14.
go back to reference D. Daly, P. Mercier, M. Bhardwaj, A. Stone, Z. Aldworth, T. Daniel, J. Voldman, J. Hildebrand, A. Chandrakasan, A pulsed UWB receiver SoC for insect motion control. IEEE J. Solid-State Circuits 45(1), 153–166 (2010)CrossRef D. Daly, P. Mercier, M. Bhardwaj, A. Stone, Z. Aldworth, T. Daniel, J. Voldman, J. Hildebrand, A. Chandrakasan, A pulsed UWB receiver SoC for insect motion control. IEEE J. Solid-State Circuits 45(1), 153–166 (2010)CrossRef
15.
go back to reference H. Darabi, S. Khorram, Z. Zhou, T. Li, B. Marholev, J. Chiu, J. Castaneda, E. Chien, S. Anand, S. Wu, M. Pan, R. Roufoogaran, H. Kim, P. Lettieri, B. Ibrahim, J. Rael, L. Tran, E. Geronaga, H. Yeh, T. Frost, J. Trachewsky, A. Rotougaran, A fully integrated SoC for 802.11b in 0.18 μm CMOS, in Proceeding of the IEEE ISSCC Digest Technical Papers (February, 2005), pp. 96–586 H. Darabi, S. Khorram, Z. Zhou, T. Li, B. Marholev, J. Chiu, J. Castaneda, E. Chien, S. Anand, S. Wu, M. Pan, R. Roufoogaran, H. Kim, P. Lettieri, B. Ibrahim, J. Rael, L. Tran, E. Geronaga, H. Yeh, T. Frost, J. Trachewsky, A. Rotougaran, A fully integrated SoC for 802.11b in 0.18 μm CMOS, in Proceeding of the IEEE ISSCC Digest Technical Papers (February, 2005), pp. 96–586
16.
go back to reference M. Demirkan, R.R. Spencer, Antenna characterization method for front-end design of pulse-based ultrawideband transceivers. IEEE Trans. Antennas Propag. 55, 2888–2899 (2007)CrossRef M. Demirkan, R.R. Spencer, Antenna characterization method for front-end design of pulse-based ultrawideband transceivers. IEEE Trans. Antennas Propag. 55, 2888–2899 (2007)CrossRef
17.
go back to reference M. Demirkan, R.R. Spencer, A 1.8Gpulse/s UWB transmitter in 90nm CMOS, in IEEE ISSCC Digest Technical Papers (February, 2008), pp. 116–117 M. Demirkan, R.R. Spencer, A 1.8Gpulse/s UWB transmitter in 90nm CMOS, in IEEE ISSCC Digest Technical Papers (February, 2008), pp. 116–117
18.
go back to reference A. Emira, A. Valdes-Garcia, B. Xia, A. Mohieldin, A. Valero-Lopez, S. Moon, C. Xin, E. Sanchez-Sinencio, A dual-mode 802.11b/Bluetooth receiver in 0.25μm BiCMOS, in Proceeding of the IEEE ISSCC Digest Technical Papers (February, 2004), pp. 270–527 A. Emira, A. Valdes-Garcia, B. Xia, A. Mohieldin, A. Valero-Lopez, S. Moon, C. Xin, E. Sanchez-Sinencio, A dual-mode 802.11b/Bluetooth receiver in 0.25μm BiCMOS, in Proceeding of the IEEE ISSCC Digest Technical Papers (February, 2004), pp. 270–527
19.
go back to reference FCC, First report and order, FCC 02-48 (2002) FCC, First report and order, FCC 02-48 (2002)
20.
go back to reference FCC, Second report and order and second memorandum opinion and order, FCC 04-285 (2004) FCC, Second report and order and second memorandum opinion and order, FCC 04-285 (2004)
21.
go back to reference B.P. Ginsburg, A.P. Chandrakasan, Highly interleaved 5b 250MS/s ADC with redundant channels in 65nm CMOS, in IEEE ISSCC Digest Technical Papers (February, 2008), pp. 240–241 B.P. Ginsburg, A.P. Chandrakasan, Highly interleaved 5b 250MS/s ADC with redundant channels in 65nm CMOS, in IEEE ISSCC Digest Technical Papers (February, 2008), pp. 240–241
23.
go back to reference H. Kim, D. Park, Y. Joo, All-digital low-power CMOS pulse generator for UWB system. IEE Electron. Lett. 40(24), 1534–1535 (2004)CrossRef H. Kim, D. Park, Y. Joo, All-digital low-power CMOS pulse generator for UWB system. IEE Electron. Lett. 40(24), 1534–1535 (2004)CrossRef
24.
go back to reference V. Kulkarni, M. Muqsith, H. Ishikuro, T. Kuroda, A 750Mb/s 12pJ/b 6-to-10GHz digital UWB transmitter, in Proceeding of IEEE Custom Integrated Circuits Conference (September, 2007), pp. 647–650 V. Kulkarni, M. Muqsith, H. Ishikuro, T. Kuroda, A 750Mb/s 12pJ/b 6-to-10GHz digital UWB transmitter, in Proceeding of IEEE Custom Integrated Circuits Conference (September, 2007), pp. 647–650
25.
go back to reference F.S. Lee, A.P. Chandrakasan, A 2.5nJ/b 0.65V 3-to-5GHz subbanded UWB receiver in 90nm CMOS, in IEEE ISSCC Digest of Technical Papers (February, 2007), pp. 116–117 F.S. Lee, A.P. Chandrakasan, A 2.5nJ/b 0.65V 3-to-5GHz subbanded UWB receiver in 90nm CMOS, in IEEE ISSCC Digest of Technical Papers (February, 2007), pp. 116–117
26.
go back to reference J.-Y. Lee, R. Scholtz, Ranging in a dense multipath environment using an UWB radio link. IEEE J. Sel. Areas Commun. 20, 1677–1683 (2007) J.-Y. Lee, R. Scholtz, Ranging in a dense multipath environment using an UWB radio link. IEEE J. Sel. Areas Commun. 20, 1677–1683 (2007)
27.
go back to reference D. Marchaland, F. Badets, M. Villegas, D. Belot, 65nm CMOS burst generator for ultra-wideband low data rate systems, in Proceedings of IEEE Radio Frequency Integrated Circuits Symposium (June, 2007), pp. 43–46 D. Marchaland, F. Badets, M. Villegas, D. Belot, 65nm CMOS burst generator for ultra-wideband low data rate systems, in Proceedings of IEEE Radio Frequency Integrated Circuits Symposium (June, 2007), pp. 43–46
28.
go back to reference B. Marholev, M. Pan, E. Chien, L. Zhang, R. Roufoogaran, S. Wu, I. Bhatti, T.-H. Lin, M. Kappes, S. Khorram, S. Anand, A. Zolfaghari, J. Castaneda, C. Chien, B. Ibrahim, H. Jensen, H. Kim, P. Lettieri, S. Mak, J. Lin, Y. Wong, R. Lee, M. Syed, M. Rofougaran, A. Rofougaran, A single-chip Bluetooth EDR device in 0.13μm CMOS, in Proceeding of the IEEE ISSCC Digest Technical Papers (February, 2007), pp. 558–759 B. Marholev, M. Pan, E. Chien, L. Zhang, R. Roufoogaran, S. Wu, I. Bhatti, T.-H. Lin, M. Kappes, S. Khorram, S. Anand, A. Zolfaghari, J. Castaneda, C. Chien, B. Ibrahim, H. Jensen, H. Kim, P. Lettieri, S. Mak, J. Lin, Y. Wong, R. Lee, M. Syed, M. Rofougaran, A. Rofougaran, A single-chip Bluetooth EDR device in 0.13μm CMOS, in Proceeding of the IEEE ISSCC Digest Technical Papers (February, 2007), pp. 558–759
29.
go back to reference P.P. Mercier, An all-digital transmitter for pulsed ultra-wideband communication, Master’s thesis, Massachusetts Institute of Technology, Cambridge, MA, 2008 P.P. Mercier, An all-digital transmitter for pulsed ultra-wideband communication, Master’s thesis, Massachusetts Institute of Technology, Cambridge, MA, 2008
30.
go back to reference P.P. Mercier, D.C. Daly, M. Bhardwaj, D.D. Wentzloff, F.S. Lee, A.P. Chandrakasan, Ultra-low-power UWB for sensor network applications, in Proceedings of IEEE ISCAS (2008), pp. 2562–2565 P.P. Mercier, D.C. Daly, M. Bhardwaj, D.D. Wentzloff, F.S. Lee, A.P. Chandrakasan, Ultra-low-power UWB for sensor network applications, in Proceedings of IEEE ISCAS (2008), pp. 2562–2565
31.
go back to reference P.P. Mercier, D.C. Daly, A.P. Chandrakasan, An energy-efficient all-digital UWB transmitter employing dual capacitively-coupled pulse-shaping drivers. IEEE J. Solid-State Circuits 44, 1679–1688 (2009)CrossRef P.P. Mercier, D.C. Daly, A.P. Chandrakasan, An energy-efficient all-digital UWB transmitter employing dual capacitively-coupled pulse-shaping drivers. IEEE J. Solid-State Circuits 44, 1679–1688 (2009)CrossRef
32.
go back to reference P.P. Mercier, M. Bhardwaj, D.C. Daly, A.P. Chandrakasan, A 0.55V 16Mb/s 1.6mW non-coherent IR-UWB digital baseband with ±1ns synchronization accuracy, in IEEE ISSCC Digest of Technical Papers (February, 2009), pp. 252–253 P.P. Mercier, M. Bhardwaj, D.C. Daly, A.P. Chandrakasan, A 0.55V 16Mb/s 1.6mW non-coherent IR-UWB digital baseband with ±1ns synchronization accuracy, in IEEE ISSCC Digest of Technical Papers (February, 2009), pp. 252–253
33.
go back to reference P.P. Mercier, M. Bhardwaj, D.C. Daly, A.P. Chandrakasan, A low-voltage energy-sampling IR-UWB digital baseband employing quadratic correlation. IEEE J. Solid-State Circuits 45, 1209–1219 (2010)CrossRef P.P. Mercier, M. Bhardwaj, D.C. Daly, A.P. Chandrakasan, A low-voltage energy-sampling IR-UWB digital baseband employing quadratic correlation. IEEE J. Solid-State Circuits 45, 1209–1219 (2010)CrossRef
34.
go back to reference T. Norimatsu, R. Fujiwara, M. Kokubo, M. Miyazaki, A. Maeki, Y. Ogata, S. Kobayashi, N. Koshizuka, K. Sakamura, A UWB-IR transmitter with digitally controlled pulse generator. IEEE J. Solid-State Circuits 42, 1300–1309 (2007)CrossRef T. Norimatsu, R. Fujiwara, M. Kokubo, M. Miyazaki, A. Maeki, Y. Ogata, S. Kobayashi, N. Koshizuka, K. Sakamura, A UWB-IR transmitter with digitally controlled pulse generator. IEEE J. Solid-State Circuits 42, 1300–1309 (2007)CrossRef
35.
go back to reference A. Oncu, B.B.M.W. Badalawa, M. Fujishima, 22–9 GHz ultra-wideband CMOS pulse generator for short-range radar applications. IEEE J. Solid-State Circuits 42, 1464–1471 (2007)CrossRef A. Oncu, B.B.M.W. Badalawa, M. Fujishima, 22–9 GHz ultra-wideband CMOS pulse generator for short-range radar applications. IEEE J. Solid-State Circuits 42, 1464–1471 (2007)CrossRef
36.
go back to reference B. Otis, Y. Chee, J. Rabaey, A 400 μW-RX, 1.6mW-TX super-regenerative transceiver for wireless sensor networks, in Proceeding of the IEEE ISSCC Digest Technical Papers (February, 2005), pp. 396–606 B. Otis, Y. Chee, J. Rabaey, A 400 μW-RX, 1.6mW-TX super-regenerative transceiver for wireless sensor networks, in Proceeding of the IEEE ISSCC Digest Technical Papers (February, 2005), pp. 396–606
37.
go back to reference T.-A. Phan, V. Krizhanovskii, S.-G. Lee, Low-power CMOS energy detection transceiver for UWB impulse radio system, in Proceedings of IEEE Custom Integrated Circuits Conference (September, 2007), pp. 675–678 T.-A. Phan, V. Krizhanovskii, S.-G. Lee, Low-power CMOS energy detection transceiver for UWB impulse radio system, in Proceedings of IEEE Custom Integrated Circuits Conference (September, 2007), pp. 675–678
38.
go back to reference N. Pletcher, S. Gambini, J. Rabaey, A 2GHz 52μW wake-up receiver with − 72dBm sensitivity using uncertain-IF architecture, in Proceeding of the IEEE ISSCC Digest Technical Papers (February, 2008), pp. 524–633 N. Pletcher, S. Gambini, J. Rabaey, A 2GHz 52μW wake-up receiver with − 72dBm sensitivity using uncertain-IF architecture, in Proceeding of the IEEE ISSCC Digest Technical Papers (February, 2008), pp. 524–633
39.
go back to reference A.-S. Porret, T. Melly, D. Python, C. Enz, E. Vittoz, An ultralow-power UHF transceiver integrated in a standard digital CMOS process: architecture and receiver. IEEE J. Solid-State Circuits 36(3), 452–466 (2001)CrossRef A.-S. Porret, T. Melly, D. Python, C. Enz, E. Vittoz, An ultralow-power UHF transceiver integrated in a standard digital CMOS process: architecture and receiver. IEEE J. Solid-State Circuits 36(3), 452–466 (2001)CrossRef
40.
go back to reference J.G. Proakis, Digital Communications, 4th edn. (McGraw-Hill, New York, 2001) J.G. Proakis, Digital Communications, 4th edn. (McGraw-Hill, New York, 2001)
41.
go back to reference J.M. Rabaey, M.J. Ammer, J.L. da Silva Jr., D. Patel, S. Roundy, PicoRadio supports ad hoc ultra-low power wireless networking. Computer 33, 42–48 (2000) J.M. Rabaey, M.J. Ammer, J.L. da Silva Jr., D. Patel, S. Roundy, PicoRadio supports ad hoc ultra-low power wireless networking. Computer 33, 42–48 (2000)
42.
go back to reference E. Ragonese, A. Scuderi, V. Giammello, E. Messina, G. Palmisano, A fully integrated 24GHz UWB radar sensor for automotive applications, in IEEE ISSCC Digest of Technical Papers (February, 2009), pp. 306–307,307a E. Ragonese, A. Scuderi, V. Giammello, E. Messina, G. Palmisano, A fully integrated 24GHz UWB radar sensor for automotive applications, in IEEE ISSCC Digest of Technical Papers (February, 2009), pp. 306–307,307a
43.
go back to reference G. Retz, H. Shanan, K. Mulvaney, S. O’Mahony, M. Chanca, P. Corowley, C. Billon, K. Khan, P. Quinlan, A highly integrated low-power 2.4GHz transceiver using a direct-conversion diversity receiver in 0.18μm CMOS for IEEE802.15.4 WPAN, Feb 2009, pp. 414–415 G. Retz, H. Shanan, K. Mulvaney, S. O’Mahony, M. Chanca, P. Corowley, C. Billon, K. Khan, P. Quinlan, A highly integrated low-power 2.4GHz transceiver using a direct-conversion diversity receiver in 0.18μm CMOS for IEEE802.15.4 WPAN, Feb 2009, pp. 414–415
44.
go back to reference J. Ryckaert, G. Van der Plas, V. De Heyn, C. Desset, B. Van Poucke, J. Craninckx, A 0.65-to-1.4 nJ/burst 3-to-10 GHz UWB all-digital TX in 90 nm CMOS for IEEE 802.15.4a. IEEE J. Solid-State Circuits 42, 2860–2869 (2007) J. Ryckaert, G. Van der Plas, V. De Heyn, C. Desset, B. Van Poucke, J. Craninckx, A 0.65-to-1.4 nJ/burst 3-to-10 GHz UWB all-digital TX in 90 nm CMOS for IEEE 802.15.4a. IEEE J. Solid-State Circuits 42, 2860–2869 (2007)
45.
go back to reference L. Smaini, C. Tinella, D. Helal, C. Stoecklin, L. Chabert, C. Devaucelle, R. Cattenoz, N. Rinaldi, D. Belot, Single-chip CMOS pulse generator for UWB systems. IEEE J. Solid-State Circuits 41, 1551–1561 (2006)CrossRef L. Smaini, C. Tinella, D. Helal, C. Stoecklin, L. Chabert, C. Devaucelle, R. Cattenoz, N. Rinaldi, D. Belot, Single-chip CMOS pulse generator for UWB systems. IEEE J. Solid-State Circuits 41, 1551–1561 (2006)CrossRef
46.
go back to reference T. Terada, S. Yoshizumi, Y. Sanada, T. Kuroda, Transceiver circuits for pulse-based ultra-wideband, in Proceeding of IEEE ISCAS (May, 2004), pp. 349–352 T. Terada, S. Yoshizumi, Y. Sanada, T. Kuroda, Transceiver circuits for pulse-based ultra-wideband, in Proceeding of IEEE ISCAS (May, 2004), pp. 349–352
47.
go back to reference T. Terada, S. Yoshizumi, M. Muqsith, Y. Sanada, T. Kuroda, A CMOS ultra-wideband impulse radio transceiver for 1Mb/s data communications and ±2.5cm range findings. IEEE J. Solid-State Circuits 41, 891–898 (2006) T. Terada, S. Yoshizumi, M. Muqsith, Y. Sanada, T. Kuroda, A CMOS ultra-wideband impulse radio transceiver for 1Mb/s data communications and ±2.5cm range findings. IEEE J. Solid-State Circuits 41, 891–898 (2006)
48.
go back to reference P. Thoppay, C. Dehollain, M. Declercq, A 7.5mA 500 MHz UWB receiver based on super-regenerative principle, in Proceeding of the IEEE European Solid-State Circuits Conference (September, 2008), pp. 382–385 P. Thoppay, C. Dehollain, M. Declercq, A 7.5mA 500 MHz UWB receiver based on super-regenerative principle, in Proceeding of the IEEE European Solid-State Circuits Conference (September, 2008), pp. 382–385
49.
go back to reference M. Verhelst, N. Van Helleputte, G. Gielen, W. Dehaene, A reconfigurable, 0.13μm CMOS 110pJ/pulse, fully integrated IR-UWB receiver for communication and sub-cm ranging, in IEEE ISSCC Digest of Technical Papers (February, 2009), pp. 250–251 M. Verhelst, N. Van Helleputte, G. Gielen, W. Dehaene, A reconfigurable, 0.13μm CMOS 110pJ/pulse, fully integrated IR-UWB receiver for communication and sub-cm ranging, in IEEE ISSCC Digest of Technical Papers (February, 2009), pp. 250–251
50.
go back to reference D. Weber, W. Si, S. Abdollahi-Alibeik, M. Lee, R. Chang, H. Dogan, S. Luschas, P. Husted, A single-chip CMOS radio SoC for v2.1 Bluetooth applications, in Proceeding of the IEEE ISSCC Digest Technical Papers (February, 2008), pp. 364–620 D. Weber, W. Si, S. Abdollahi-Alibeik, M. Lee, R. Chang, H. Dogan, S. Luschas, P. Husted, A single-chip CMOS radio SoC for v2.1 Bluetooth applications, in Proceeding of the IEEE ISSCC Digest Technical Papers (February, 2008), pp. 364–620
51.
go back to reference M. Weisenhom, W. Hirt, Robust noncoherent receiver exploiting UWB channel properties, in Proceedings of IEEE Joint UWBST & IWUWBS (May, 2004), pp. 156–160 M. Weisenhom, W. Hirt, Robust noncoherent receiver exploiting UWB channel properties, in Proceedings of IEEE Joint UWBST & IWUWBS (May, 2004), pp. 156–160
52.
go back to reference D.D. Wentzloff, Pulse-based ultra-wideband transmitters for digital communication, Ph.D. thesis, Massachusetts Institute of Technology, 2007 D.D. Wentzloff, Pulse-based ultra-wideband transmitters for digital communication, Ph.D. thesis, Massachusetts Institute of Technology, 2007
53.
go back to reference D.D. Wentzloff, A.P. Chandrakasan, Gaussian pulse generators for subbanded ultra-wideband transmitters. IEEE Trans. Microw. Theory Tech. 54, 1647–1655 (2006)CrossRef D.D. Wentzloff, A.P. Chandrakasan, Gaussian pulse generators for subbanded ultra-wideband transmitters. IEEE Trans. Microw. Theory Tech. 54, 1647–1655 (2006)CrossRef
54.
go back to reference D.D. Wentzloff, A.P. Chandrakasan, A 47pJ/pulse 3.1-to-5GHz all-digital UWB transmitter in 90nm CMOS, in IEEE ISSCC Digest of Technical Papers (February, 2007), pp. 118–119 D.D. Wentzloff, A.P. Chandrakasan, A 47pJ/pulse 3.1-to-5GHz all-digital UWB transmitter in 90nm CMOS, in IEEE ISSCC Digest of Technical Papers (February, 2007), pp. 118–119
55.
go back to reference D.D. Wentzloff, F.S. Lee, D.C. Daly, M. Bhardwaj, P. Mercier, A.P. Chandrakasan, Energy efficient pulsed-UWB CMOS circuits and systems, in Proceedings of IEEE International Conference on Ultra-Wideband (September, 2007), pp. 282–287 D.D. Wentzloff, F.S. Lee, D.C. Daly, M. Bhardwaj, P. Mercier, A.P. Chandrakasan, Energy efficient pulsed-UWB CMOS circuits and systems, in Proceedings of IEEE International Conference on Ultra-Wideband (September, 2007), pp. 282–287
56.
go back to reference M.Z. Win, R.A. Scholtz, Impulse radio: how it works. IEEE Commun. Lett. 2, 36–38 (1998)CrossRef M.Z. Win, R.A. Scholtz, Impulse radio: how it works. IEEE Commun. Lett. 2, 36–38 (1998)CrossRef
57.
go back to reference J. Yuan, C. Svensson, High-speed CMOS circuit techniques. IEEE J. Solid-State Circuits 24, 62–70 (1989)CrossRef J. Yuan, C. Svensson, High-speed CMOS circuit techniques. IEEE J. Solid-State Circuits 24, 62–70 (1989)CrossRef
58.
go back to reference J. Yuan, C. Svensson, Fast CMOS nonbinary divider and counter. IEE Electron. Lett. 29, 1222–1223 (1993)CrossRef J. Yuan, C. Svensson, Fast CMOS nonbinary divider and counter. IEE Electron. Lett. 29, 1222–1223 (1993)CrossRef
59.
go back to reference Y. Zheng, Y. Tong, C.W. Ang, Y.-P. Xu, W.G. Yeoh, F. Lin, R. Singh, A CMOS carrier-less UWB transceiver for WPAN applications, in IEEE ISSCC Digest of Technical Papers (February, 2006), pp. 378–387 Y. Zheng, Y. Tong, C.W. Ang, Y.-P. Xu, W.G. Yeoh, F. Lin, R. Singh, A CMOS carrier-less UWB transceiver for WPAN applications, in IEEE ISSCC Digest of Technical Papers (February, 2006), pp. 378–387
60.
go back to reference Y. Zheng, K.-W. Wong, M. Annamalai Asaru, D. Shen, W.H. Zhao, Y.J. The, P. Andrew, F. Lin, W.G. Yeoh, R. Singh, A 0.18μm CMOS dual-band UWB transceiver, in IEEE ISSCC Digest of Technical Papers (February, 2007), pp. 114–115 Y. Zheng, K.-W. Wong, M. Annamalai Asaru, D. Shen, W.H. Zhao, Y.J. The, P. Andrew, F. Lin, W.G. Yeoh, R. Singh, A 0.18μm CMOS dual-band UWB transceiver, in IEEE ISSCC Digest of Technical Papers (February, 2007), pp. 114–115
61.
go back to reference Y. Zheng, M.A. Arasu, K.-W. Wong, Y.J. The, A.P.H. Suan, D.D. Tran, W.G. Yeoh, D.-L. Kwong, A 0.18μm CMOS 802.15.4a UWB transceiver for communication and localization, in IEEE ISSCC Digest of Technical Papers (February, 2008), pp. 118–119 Y. Zheng, M.A. Arasu, K.-W. Wong, Y.J. The, A.P.H. Suan, D.D. Tran, W.G. Yeoh, D.-L. Kwong, A 0.18μm CMOS 802.15.4a UWB transceiver for communication and localization, in IEEE ISSCC Digest of Technical Papers (February, 2008), pp. 118–119
62.
go back to reference Y. Zhu, J.D. Zuegel, J.R. Marciante, H. Wu, A 10 GS/s distributed waveform generator for sub-nanosecond pulse generation and modulation in 0.18μm standard digital CMOS, in Proceedings of IEEE Radio Frequency Integrated Circuits Symposium (June, 2007), pp. 35–38 Y. Zhu, J.D. Zuegel, J.R. Marciante, H. Wu, A 10 GS/s distributed waveform generator for sub-nanosecond pulse generation and modulation in 0.18μm standard digital CMOS, in Proceedings of IEEE Radio Frequency Integrated Circuits Symposium (June, 2007), pp. 35–38
63.
go back to reference D. Zito, D. Pepe, M. Mincica, F. Zito, A 90nm cmos soc uwb pulse radar for respiratory rate monitoring, in IEEE ISSCC Digest of Technical Papers (February, 2011), pp. 40–41 D. Zito, D. Pepe, M. Mincica, F. Zito, A 90nm cmos soc uwb pulse radar for respiratory rate monitoring, in IEEE ISSCC Digest of Technical Papers (February, 2011), pp. 40–41
Metadata
Title
Pulsed Ultra-Wideband Transceivers
Authors
Patrick P. Mercier
Denis C. Daly
Fred S. Lee
David D. Wentzloff
Anantha P. Chandrakasan
Copyright Year
2015
DOI
https://doi.org/10.1007/978-3-319-14714-7_8