Skip to main content
Top
Published in: Journal of Electronic Testing 4/2018

06-06-2018

Thermal-aware SoC Test Scheduling with Voltage/Frequency Scaling and Test Partition

Authors: Ying Zhang, Li Ling, Jianhui Jiang, Jie Xiao

Published in: Journal of Electronic Testing | Issue 4/2018

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

With increasing power density in modern integrated circuits, thermal issues are becoming a critical problem in System-on-a-Chip (SoC) testing. In this paper, we develop the thermal-aware test scheduling methods using Voltage/Frequency Scaling (VFS) and Test Partition (TP) to reduce the expensive Test Application Time (TAT). First, we develop a quick temperature estimation method in test scheduling to ensure the test temperature within the given range. Second, we propose a thermal-aware test scheduling method based on the mixed-integer linear programming model (MILP) (called STP-M) that applies VFS and TP to search the optimum scheduling and further reduce the TAT. Third, we develop a heuristic method based on Rectangular Strip Packing (called H-RSP) to quickly access the quasi-optimal scheduling. The experimental results on ITC’02 benchmarks showed that the STP-M obtains the most optimized result for every benchmark and saved 15.5% and 8.0% TAT on average compared with the existing works, while H-RSP takes less than 10 seconds to access the quasi-optimal scheduling that is close to that of STP-M.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Show more products
Literature
1.
go back to reference Aghaee N, Peng Z, Eles P (2013) Process-variation and temperature aware SoC test scheduling technique. J Electron Test 29(4):499–520CrossRef Aghaee N, Peng Z, Eles P (2013) Process-variation and temperature aware SoC test scheduling technique. J Electron Test 29(4):499–520CrossRef
2.
go back to reference Aghaee N, Peng Z, Eles P (2015) A test-ordering based temperature-cycling acceleration technique for 3D stacked ICs. J Electron Test 31(5-6):503–523CrossRef Aghaee N, Peng Z, Eles P (2015) A test-ordering based temperature-cycling acceleration technique for 3D stacked ICs. J Electron Test 31(5-6):503–523CrossRef
3.
go back to reference Bild DR, Misra S, Chantemy T, Kumar P, Dick RP, Huy XS, Shangz L, Choudhary A (2008) Temperature-aware test scheduling for multiprocessor systems-on-chip. In: Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design, San Jose, USA, pp 59–66 Bild DR, Misra S, Chantemy T, Kumar P, Dick RP, Huy XS, Shangz L, Choudhary A (2008) Temperature-aware test scheduling for multiprocessor systems-on-chip. In: Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design, San Jose, USA, pp 59–66
4.
go back to reference Chakrabarty K (2000) Test scheduling for core-based systems using mixed-integer linear programming. IEEE Trans Comput-Aid Des Integr Circuit Syst 19(10):1163–1174CrossRef Chakrabarty K (2000) Test scheduling for core-based systems using mixed-integer linear programming. IEEE Trans Comput-Aid Des Integr Circuit Syst 19(10):1163–1174CrossRef
5.
go back to reference Chakrabarty K (2005) Low-cost modular testing and test resource partitioning for SOCs. In: Proceedings of IEEE Computers and Digital Techniques, vol 152, pp 427–441 Chakrabarty K (2005) Low-cost modular testing and test resource partitioning for SOCs. In: Proceedings of IEEE Computers and Digital Techniques, vol 152, pp 427–441
6.
go back to reference Chou RM, Saluja KK, Agrawal VD (1997) Scheduling tests for VLSI systems under power constraints. IEEE Trans Very Large Scale Integr Syst 2:5 Chou RM, Saluja KK, Agrawal VD (1997) Scheduling tests for VLSI systems under power constraints. IEEE Trans Very Large Scale Integr Syst 2:5
8.
go back to reference He Z, Peng Z, Eles P, Rosinger P, Al-Hashimi BM (2008) Thermal-aware SoC test scheduling with test set partitioning and interleaving. J Electron Test 24(1-3):247–257CrossRef He Z, Peng Z, Eles P, Rosinger P, Al-Hashimi BM (2008) Thermal-aware SoC test scheduling with test set partitioning and interleaving. J Electron Test 24(1-3):247–257CrossRef
9.
go back to reference Hu Y, Han Y, Li X, Li H, Wen X (2006) Compression/scan Co-design for reducing test data volume, scan-in power dissipation, and test application time. IEICE Trans 89-D(10):2616–2625CrossRef Hu Y, Han Y, Li X, Li H, Wen X (2006) Compression/scan Co-design for reducing test data volume, scan-in power dissipation, and test application time. IEICE Trans 89-D(10):2616–2625CrossRef
10.
go back to reference Iyengar V, Chakrabarty K, Marinissen EJ (2003) Test access mechanism optimization, test scheduling, and test data volume reduction for System-on-Chip. IEEE Trans Comput 12:52 Iyengar V, Chakrabarty K, Marinissen EJ (2003) Test access mechanism optimization, test scheduling, and test data volume reduction for System-on-Chip. IEEE Trans Comput 12:52
11.
go back to reference Jiang L, Xu Q, Chakrabarty K (2012) Integrated test-architecture optimization and thermal-aware test scheduling for 3-D SoCs under pre-bond test-pin-count constraint. IEEE Trans Very Large Scale Integr Syst 20 (9):1621–1633CrossRef Jiang L, Xu Q, Chakrabarty K (2012) Integrated test-architecture optimization and thermal-aware test scheduling for 3-D SoCs under pre-bond test-pin-count constraint. IEEE Trans Very Large Scale Integr Syst 20 (9):1621–1633CrossRef
12.
go back to reference Khan O, Kundu S (2011) Microvisor: a runtime architecture for thermal management in chip multiprocessors. Trans High-Performance Embedded Architectures Compilers 4:84–110 Khan O, Kundu S (2011) Microvisor: a runtime architecture for thermal management in chip multiprocessors. Trans High-Performance Embedded Architectures Compilers 4:84–110
13.
go back to reference Ling L, Jiang J (2014) Exploit Dynamic Voltage and Frequency Scaling for SoC Test Scheduling under Thermal Constraints. In: Proceedings of the 23rd IEEE Asian Test Symposium, Hangzhou, China, pp 180–185 Ling L, Jiang J (2014) Exploit Dynamic Voltage and Frequency Scaling for SoC Test Scheduling under Thermal Constraints. In: Proceedings of the 23rd IEEE Asian Test Symposium, Hangzhou, China, pp 180–185
14.
go back to reference Liu C, Veeraraghavan K, Iyengar V (2005) Thermal-aware test scheduling and hot spot temperature minimization for core-based system. In: Proceedings of IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, Monterey, USA, pp 552–560 Liu C, Veeraraghavan K, Iyengar V (2005) Thermal-aware test scheduling and hot spot temperature minimization for core-based system. In: Proceedings of IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, Monterey, USA, pp 552–560
16.
go back to reference Marinissen EJ, Iyengar V, Chakrabarty K (2002) A set of benchmarks for modular testing of SOCs. In: Proceedings of the 2002 IEEE International Test Conference Baltimore, USA, pp 519–528 Marinissen EJ, Iyengar V, Chakrabarty K (2002) A set of benchmarks for modular testing of SOCs. In: Proceedings of the 2002 IEEE International Test Conference Baltimore, USA, pp 519–528
17.
go back to reference Millican SK, Saluja KK (2012) Linear Programming Formulations for Thermal-Aware Test Scheduling of 3D-Stacked Integrated Circuits. In: Proceedings of IEEE Asian Test Symposium, Niigata, Japan, pp 37–42 Millican SK, Saluja KK (2012) Linear Programming Formulations for Thermal-Aware Test Scheduling of 3D-Stacked Integrated Circuits. In: Proceedings of IEEE Asian Test Symposium, Niigata, Japan, pp 37–42
19.
go back to reference Millican SK, Saluja KK (2013) Formulating optimal test scheduling problem with dynamic voltage and frequency scaling. In: Proceedings of the 22nd Asian Test Symposium, Yilan County, Taiwan, pp 165–170 Millican SK, Saluja KK (2013) Formulating optimal test scheduling problem with dynamic voltage and frequency scaling. In: Proceedings of the 22nd Asian Test Symposium, Yilan County, Taiwan, pp 165–170
20.
go back to reference Millican SK, Saluja KK (2014) Optimal test scheduling formulation under power constraints with dynamic voltage and frequency scaling. J Electr Test Theory Appl 30(5):569–580CrossRef Millican SK, Saluja KK (2014) Optimal test scheduling formulation under power constraints with dynamic voltage and frequency scaling. J Electr Test Theory Appl 30(5):569–580CrossRef
21.
go back to reference Millican SK, Saluja KK (2014) A test partitioning technique for scheduling tests for thermally constrained 3D integrated circuits. In: Proceedings of the 13th International Conference on VLSI Design and 27th International Conference on Embedded Systems, pp 20–25, Mumbai, India Millican SK, Saluja KK (2014) A test partitioning technique for scheduling tests for thermally constrained 3D integrated circuits. In: Proceedings of the 13th International Conference on VLSI Design and 27th International Conference on Embedded Systems, pp 20–25, Mumbai, India
22.
go back to reference Ravi S (2007) Power-aware test: challenges and solutions. In: Proceedings of 2007 IEEE International Test Conference, Santa Clara, USA, pp 1–10 Ravi S (2007) Power-aware test: challenges and solutions. In: Proceedings of 2007 IEEE International Test Conference, Santa Clara, USA, pp 1–10
23.
go back to reference Sheshadri V, Agrawal VD, Agrawal P (2013) Power-aware SoC test optimization through dynamic voltage and frequency scaling. In: Proceedings of 21st IFIP/IEEE International Conference on VLSI and SoC, Istanbul, Turkey, pp 102–107 Sheshadri V, Agrawal VD, Agrawal P (2013) Power-aware SoC test optimization through dynamic voltage and frequency scaling. In: Proceedings of 21st IFIP/IEEE International Conference on VLSI and SoC, Istanbul, Turkey, pp 102–107
24.
go back to reference Sheshadri V, Agrawal VD, Agrawal P (2017) Power-aware optimization of SoC test schedules using voltage and frequency scaling. J Electron Test Theory Appl 33(2):171–187CrossRef Sheshadri V, Agrawal VD, Agrawal P (2017) Power-aware optimization of SoC test schedules using voltage and frequency scaling. J Electron Test Theory Appl 33(2):171–187CrossRef
25.
go back to reference Shih CJ, Hsu CY, Kuo CY, Li J, Rau JC, Chakrabarty K (2012) Thermal-Aware Test Schedule and TAM Co-Optimization for ThreeDimensional IC. In: Proceedings of Active and Passive Electronic Components, pp 1–10 Shih CJ, Hsu CY, Kuo CY, Li J, Rau JC, Chakrabarty K (2012) Thermal-Aware Test Schedule and TAM Co-Optimization for ThreeDimensional IC. In: Proceedings of Active and Passive Electronic Components, pp 1–10
26.
go back to reference Skadron K, Stan MR, Huang W, Velusamy S, Sankaranarayanan K, Tarjan D (2003) Temperature-aware microarchitecture. ACM SIGARCH Comput Architecture News 31(2):2–13CrossRef Skadron K, Stan MR, Huang W, Velusamy S, Sankaranarayanan K, Tarjan D (2003) Temperature-aware microarchitecture. ACM SIGARCH Comput Architecture News 31(2):2–13CrossRef
27.
go back to reference Srinivasan S, Ganeshpure KP, Kundu SK (2012) A Wavelet-Based Spatio-Temporal Heat Dissipation Model for Reordering of Program Phases to Produce Temperature Extremes in a Chip. IEEE Trans Comput-Aid Des Integr Circuit Syst 31:12 Srinivasan S, Ganeshpure KP, Kundu SK (2012) A Wavelet-Based Spatio-Temporal Heat Dissipation Model for Reordering of Program Phases to Produce Temperature Extremes in a Chip. IEEE Trans Comput-Aid Des Integr Circuit Syst 31:12
28.
go back to reference Vartziotis F, Kavousianos X, Chakrabarty K, Jain A, Parekhji R (2015) Time-division multiplexing for testing DVFS-based SoCs. IEEE Trans Comput-Aid Des Integr Circuit Syst 4:34 Vartziotis F, Kavousianos X, Chakrabarty K, Jain A, Parekhji R (2015) Time-division multiplexing for testing DVFS-based SoCs. IEEE Trans Comput-Aid Des Integr Circuit Syst 4:34
29.
go back to reference Wen X, Yamashita Y, Kajihara S, Wang L, Saluja KK, Kinoshita K (2006) A new method for low-capture-power test generation for scan testing. IEICE Trans 89-D(5):1679–1686CrossRef Wen X, Yamashita Y, Kajihara S, Wang L, Saluja KK, Kinoshita K (2006) A new method for low-capture-power test generation for scan testing. IEICE Trans 89-D(5):1679–1686CrossRef
30.
go back to reference Xia Y, Chrzanowska-Jeske M, Wang B, Jeske M (2003) Using a distributed rectangle bin-packing approach for core-based SoC test scheduling with power constraints. In: Proceedings of 2003 IEEE/ACM International Conference on Computer-Aided Design, San Jose, USA, p 100 Xia Y, Chrzanowska-Jeske M, Wang B, Jeske M (2003) Using a distributed rectangle bin-packing approach for core-based SoC test scheduling with power constraints. In: Proceedings of 2003 IEEE/ACM International Conference on Computer-Aided Design, San Jose, USA, p 100
31.
go back to reference Yao C, Saluja KK, Ramanathan P (2011) Power and thermal constrained test scheduling under deep submicron technologies. IEEE Trans Comput-Aid Des Integr Circuit Syst 30(2):317–322CrossRef Yao C, Saluja KK, Ramanathan P (2011) Power and thermal constrained test scheduling under deep submicron technologies. IEEE Trans Comput-Aid Des Integr Circuit Syst 30(2):317–322CrossRef
32.
go back to reference Zhang Y, Peng Z, Jiang J, Li H, Fujita M (2015) Temperature-aware software-based self-testing for delay faults. In: Proceedings of Design Automation and Test Conference in Europe, Grenoble, France, pp 423–428 Zhang Y, Peng Z, Jiang J, Li H, Fujita M (2015) Temperature-aware software-based self-testing for delay faults. In: Proceedings of Design Automation and Test Conference in Europe, Grenoble, France, pp 423–428
Metadata
Title
Thermal-aware SoC Test Scheduling with Voltage/Frequency Scaling and Test Partition
Authors
Ying Zhang
Li Ling
Jianhui Jiang
Jie Xiao
Publication date
06-06-2018
Publisher
Springer US
Published in
Journal of Electronic Testing / Issue 4/2018
Print ISSN: 0923-8174
Electronic ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-018-5733-x

Other articles of this Issue 4/2018

Journal of Electronic Testing 4/2018 Go to the issue

EditorialNotes

Editorial