Skip to main content
Top

2012 | OriginalPaper | Chapter

3. Adaptive Error Control Coding at Datalink Layer

Authors : Qiaoyan Yu, Paul Ampadu

Published in: Transient and Permanent Error Control for Networks-on-Chip

Publisher: Springer New York

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Reliable on-chip communication in a multi-ore system-on-chip is one of the most important challenges [1–3]. Networks-on-chip (NoCs) have been proposed to facilitate on-chip communication [4–6]. Within this framework, many coding methods have been examined to handle transient errors in NoC links [6–14]. These works typically assume that the probability of error is very low and use simple error detection codes combined with retransmission to save energy [7,8,15]. Unfortunately, as technology scales deep into the nanometer regime, on-chip communication becomes more susceptible to increased crosstalk, external radiation and spurious voltage spikes than before; thus, the number of erroneous bits per flit (flow control unit) is expected to increase [16–18]. As a result, more powerful codes are needed to provide improved error resilience against multi-bit errors.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Salminen E, Kulmala A, Hamalainen TD (2007) On network-on-chip comparison. in Proc 10th Euromicro Conf on Digital Syst Design Architectures, Methods and Tools (DSD 2007) 503–510 Salminen E, Kulmala A, Hamalainen TD (2007) On network-on-chip comparison. in Proc 10th Euromicro Conf on Digital Syst Design Architectures, Methods and Tools (DSD 2007) 503–510
2.
go back to reference Ho R, Mai KW, Horowitz MA (2001) The future of wires. Proc IEEE, 89:490–504CrossRef Ho R, Mai KW, Horowitz MA (2001) The future of wires. Proc IEEE, 89:490–504CrossRef
3.
go back to reference Constantinescu C (2003) Trends and challenges in VLSI circuit reliability. IEEE Micro 23:14–19CrossRef Constantinescu C (2003) Trends and challenges in VLSI circuit reliability. IEEE Micro 23:14–19CrossRef
4.
go back to reference Dally WJ, Towles B (2001) Route Packets, Not Wires: On-Chip Interconnection Networks. in Proc 38th Design Automation Conference (DAC’01) 684–689 Dally WJ, Towles B (2001) Route Packets, Not Wires: On-Chip Interconnection Networks. in Proc 38th Design Automation Conference (DAC’01) 684–689
5.
go back to reference Benini L, De Micheli G (2002) Networks on Chips: A new SoC paradigm. Computer 35:70–78CrossRef Benini L, De Micheli G (2002) Networks on Chips: A new SoC paradigm. Computer 35:70–78CrossRef
6.
go back to reference Dumitras T, Kerner S, Marculescu R (2003) Towards on-chip fault-tolerant communication. in Proc of Asia and South Pacific Design Automation Conference (ASP-DAC’03) 225–232 Dumitras T, Kerner S, Marculescu R (2003) Towards on-chip fault-tolerant communication. in Proc of Asia and South Pacific Design Automation Conference (ASP-DAC’03) 225–232
7.
go back to reference Bertozzi D, Benini L, De Micheli G (2005) Error control scheme for on-chip communication links: the energy-reliability tradeoff. IEEE Trans Computer-Aided Design of Integr Circuits and Syst (TCAD) 24:818–831CrossRef Bertozzi D, Benini L, De Micheli G (2005) Error control scheme for on-chip communication links: the energy-reliability tradeoff. IEEE Trans Computer-Aided Design of Integr Circuits and Syst (TCAD) 24:818–831CrossRef
8.
go back to reference Murali S et al (2005) Analysis of error recovery schemes for networks on chips. IEEE Design & Test of Computers 22:434–442CrossRef Murali S et al (2005) Analysis of error recovery schemes for networks on chips. IEEE Design & Test of Computers 22:434–442CrossRef
9.
go back to reference Sridhara SR and Shanbhag NR (2007) Coding for reliable on-chip buses: A class of fundamental bounds and practical codes. IEEE Trans on Computer-Aided Design of Integrated Circuit and Syst 26:977–982CrossRef Sridhara SR and Shanbhag NR (2007) Coding for reliable on-chip buses: A class of fundamental bounds and practical codes. IEEE Trans on Computer-Aided Design of Integrated Circuit and Syst 26:977–982CrossRef
10.
go back to reference Sridhara SR, Shanbhag NR (2005) Coding for system-on-chip networks: a unified framework. IEEE Trans Very Large Scale Integr (VLSI) Syst 13:655–667CrossRef Sridhara SR, Shanbhag NR (2005) Coding for system-on-chip networks: a unified framework. IEEE Trans Very Large Scale Integr (VLSI) Syst 13:655–667CrossRef
11.
go back to reference Bertozzi D, Benini L, De Micheli G (2002) Low power error resilient encoding for on-chip data buses. in Proc Design, Automation, and Test in Europe (DATE’02) 102–109 Bertozzi D, Benini L, De Micheli G (2002) Low power error resilient encoding for on-chip data buses. in Proc Design, Automation, and Test in Europe (DATE’02) 102–109
12.
go back to reference Ali M, Welzl M, Hessler S (2007) A fault tolerant mechanism for handling permanent and transient failures in a network on chip. in Proc Intl Technology: New Generations (ITNG’07) 1027–1032 Ali M, Welzl M, Hessler S (2007) A fault tolerant mechanism for handling permanent and transient failures in a network on chip. in Proc Intl Technology: New Generations (ITNG’07) 1027–1032
13.
go back to reference Komatsu S, Fujita M (2005) Low power and fault tolerant encoding methods for on-chip data transfer in practical applications. IEICE Trans Fundamentals, E88-A:3282–3289CrossRef Komatsu S, Fujita M (2005) Low power and fault tolerant encoding methods for on-chip data transfer in practical applications. IEICE Trans Fundamentals, E88-A:3282–3289CrossRef
14.
go back to reference Ejlali A, Al-Hashimi BM, Rosinger P, Miremadi SG (2007) Joint consideration of fault-tolerance, energy-efficiency and performance in on-chip networks. in Proc Design, Automation, and Test in Europe (DATE’07) 1647–1652 Ejlali A, Al-Hashimi BM, Rosinger P, Miremadi SG (2007) Joint consideration of fault-tolerance, energy-efficiency and performance in on-chip networks. in Proc Design, Automation, and Test in Europe (DATE’07) 1647–1652
15.
go back to reference Li L, Vijaykrishnan N, Kandemir M, Irwin MJ (2003) Adaptive error protection for energy efficiency. in Proc ICCAD'03 2–7 Li L, Vijaykrishnan N, Kandemir M, Irwin MJ (2003) Adaptive error protection for energy efficiency. in Proc ICCAD'03 2–7
16.
go back to reference De Micheli G, Benini L (2007) Networks On Chips. Morgan Kaufmann, San Francisco De Micheli G, Benini L (2007) Networks On Chips. Morgan Kaufmann, San Francisco
17.
go back to reference Pande PP, Grecu C, Jones M, Ivanov A, and Saleh R (2005) Performance evaluation and design trade-offs for network-on-chip interconnect architectures. IEEE Trans on Computers 54:1025–1040CrossRef Pande PP, Grecu C, Jones M, Ivanov A, and Saleh R (2005) Performance evaluation and design trade-offs for network-on-chip interconnect architectures. IEEE Trans on Computers 54:1025–1040CrossRef
18.
go back to reference Palit AK, Duganapalli KK, Anheier W (2008) Crosstalk fault modeling in defective pair of interconnects. Integration, the VLSI Journal 41:27–37CrossRef Palit AK, Duganapalli KK, Anheier W (2008) Crosstalk fault modeling in defective pair of interconnects. Integration, the VLSI Journal 41:27–37CrossRef
19.
go back to reference Rossi D, Angelini P, Metra C (2007) Configurable error control scheme for NoC signal integrity. in Proc IOLTS'07 43–48 Rossi D, Angelini P, Metra C (2007) Configurable error control scheme for NoC signal integrity. in Proc IOLTS'07 43–48
20.
go back to reference Zimmer H, Jantsch A (2003) A fault model notation and error-control scheme for switch-to-switch buses in a network-on-chip. in Proc CODES + ISSS'03 188–193 Zimmer H, Jantsch A (2003) A fault model notation and error-control scheme for switch-to-switch buses in a network-on-chip. in Proc CODES + ISSS'03 188–193
21.
go back to reference Ganguly A, Pande PP, Belzer B, Grecu C (2008) Design of low power & reliable networks on chip through joint crosstalk avoidance and multiple error correction coding. J Electron Test 24:67–81CrossRef Ganguly A, Pande PP, Belzer B, Grecu C (2008) Design of low power & reliable networks on chip through joint crosstalk avoidance and multiple error correction coding. J Electron Test 24:67–81CrossRef
22.
go back to reference Nunez-Yanez JL, Edwards D, Coppola AM (2008) Adaptive routing strategies for fault-tolerant on-chip networks in dynamically reconfigurable systems. IET Computers & Digital Techniques 2:184–198CrossRef Nunez-Yanez JL, Edwards D, Coppola AM (2008) Adaptive routing strategies for fault-tolerant on-chip networks in dynamically reconfigurable systems. IET Computers & Digital Techniques 2:184–198CrossRef
23.
go back to reference Yu Q, Ampadu P (2008) Configurable error correction for multi-wire errors in switch-to-switch links. in Proc IEEE Intl SOC Conf (SOCC’08) 71–74 Yu Q, Ampadu P (2008) Configurable error correction for multi-wire errors in switch-to-switch links. in Proc IEEE Intl SOC Conf (SOCC’08) 71–74
24.
go back to reference Worm F, Ienne P, Thiran P, De Micheli G (2005) A robust self-calibrating transmission scheme for on-chip network. IEEE Trans Very Large Scale Integr (VLSI) Syst 13:126–139CrossRef Worm F, Ienne P, Thiran P, De Micheli G (2005) A robust self-calibrating transmission scheme for on-chip network. IEEE Trans Very Large Scale Integr (VLSI) Syst 13:126–139CrossRef
25.
go back to reference Yu Q, Ampadu P (2008) Adaptive error control for reliable systems-on-chip. in Proc Intl Symp on Circuits and Syst (ISCAS’08) 832–835 Yu Q, Ampadu P (2008) Adaptive error control for reliable systems-on-chip. in Proc Intl Symp on Circuits and Syst (ISCAS’08) 832–835
26.
go back to reference Yu Q, Ampadu P (2008) Adaptive error control for NoC switch-to-switch links in a variable noise environment. in Proc 23rd IEEE Intl Symp on Defect and Fault Tolerance in VLSI system (DFT’08) 352–360 Yu Q, Ampadu P (2008) Adaptive error control for NoC switch-to-switch links in a variable noise environment. in Proc 23rd IEEE Intl Symp on Defect and Fault Tolerance in VLSI system (DFT’08) 352–360
27.
go back to reference Pande PP, Ganguly A, Feero B, Belzer B, Grecu C (2006) Design of low power & reliable networks on chip through joint crosstalk avoidance and forward error correction coding. in Proc IEEE Intl Symp on Defect and Fault Tolerance in VLSI Systems (DFT’06) 466–476 Pande PP, Ganguly A, Feero B, Belzer B, Grecu C (2006) Design of low power & reliable networks on chip through joint crosstalk avoidance and forward error correction coding. in Proc IEEE Intl Symp on Defect and Fault Tolerance in VLSI Systems (DFT’06) 466–476
28.
go back to reference Lehtonen T, Lijieberg P, Plosila J (2007) Analysis of forward error correction methods for nanoscale networks-on-chip. in Proc Nano-Net 1–5 Lehtonen T, Lijieberg P, Plosila J (2007) Analysis of forward error correction methods for nanoscale networks-on-chip. in Proc Nano-Net 1–5
29.
go back to reference Salminen E, Kulmala A, Hamalainen TD (2008) Survey of network-on-chip proposals. white paper, OCP-IP Salminen E, Kulmala A, Hamalainen TD (2008) Survey of network-on-chip proposals. white paper, OCP-IP
30.
go back to reference Kousa M, Turner L (1996) Reliability-throughput optimization for adaptive forward error correction systems. IEE Proc Commun 143:341–346CrossRef Kousa M, Turner L (1996) Reliability-throughput optimization for adaptive forward error correction systems. IEE Proc Commun 143:341–346CrossRef
31.
go back to reference Minn H, Zeng M, Bhargava VK (2001) On ARQ scheme with adaptive error control. IEEE Trans on Vehicular Technology 50:1426–1436CrossRef Minn H, Zeng M, Bhargava VK (2001) On ARQ scheme with adaptive error control. IEEE Trans on Vehicular Technology 50:1426–1436CrossRef
32.
go back to reference Fu B, Ampadu P (2008) A dual-mode hybrid ARQ scheme for energy efficiency on-chip interconnects. in Proc 3rd Intl Conf on Nano-Networks (Nano-Net’08) 1–5 Fu B, Ampadu P (2008) A dual-mode hybrid ARQ scheme for energy efficiency on-chip interconnects. in Proc 3rd Intl Conf on Nano-Networks (Nano-Net’08) 1–5
33.
go back to reference Lin S, Costello DJ (2004) Error control coding, Second Edition ed. Prentice Hall Lin S, Costello DJ (2004) Error control coding, Second Edition ed. Prentice Hall
35.
go back to reference Bertozzi D, Benini L (2004) Xpipes: a network on chip architecture for gigascale systems-on-chip. IEEE Circuits and Syst Magazine 4:18–31CrossRef Bertozzi D, Benini L (2004) Xpipes: a network on chip architecture for gigascale systems-on-chip. IEEE Circuits and Syst Magazine 4:18–31CrossRef
36.
go back to reference Lehtonen T, Liljeberg P, Plosila J (2007) Online reconfigurable self-timed links for fault tolerant NoC. VLSI Design 1–13CrossRef Lehtonen T, Liljeberg P, Plosila J (2007) Online reconfigurable self-timed links for fault tolerant NoC. VLSI Design 1–13CrossRef
Metadata
Title
Adaptive Error Control Coding at Datalink Layer
Authors
Qiaoyan Yu
Paul Ampadu
Copyright Year
2012
Publisher
Springer New York
DOI
https://doi.org/10.1007/978-1-4614-0962-5_3