Skip to main content
Top
Published in: Wireless Personal Communications 4/2022

01-09-2022

An Improved Harmony Search Approach for Block Placement for VLSI Design Automation

Authors: Shaik Karimullah, D. Vishnuvardhan, Vidhyacharan Bhaskar

Published in: Wireless Personal Communications | Issue 4/2022

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Level of knowledge with the field for VLSI IC Design’s Placement and Routing and in the area of Wireless Communication is rapidly evolving; Hence the process designing in the above fields is critical to assimilate a higher quantity of computation elements or nodes into a very compact size in VLSI Area and also the same is applicable in Wireless Communications to cover more number of nodes in a specific area. Prior to completing the placement, the physical and technical arranging of the computation elements or nodes in the chip area is planned, which is nothing more than Placement step and analogous with coverage of nodes in Wireless communication. Floorplanning must be done properly for reduce given placement area in physical ICDie. The proposed work suggests an ImprovisedHarmonySearch-IHS Optimization Algorithm, which is an Sequential combination of the HarmonySearch (HS) Algorithm and the most effective Particle Swarm Optimization (PSO) Algorithm to achieve the major objective of the VLSI Placement approach and applicable to the field of Wireless Communication. The aim at this point is to reduce the gap between the standard area of the benchmark circuit to the obtained area of the corresponding benchmark circuit. The MATLAB code for the ImprovisedHarmonySearch-IHS Algorithm is recreated, and discoveries are tested for better inspection using standard MCNC, or North Carolina Microelectronics Center benchmark circuits and suggest to adopt the corresponding results to the area of Wireless communication.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Chandrasekaran, G., Periyasamy, S., & Rajamanickam, K. P. (2019). Minimization of test time in system on chip using artificial intelligence-based test scheduling techniques. Neural Computing and Applications,1–10 Chandrasekaran, G., Periyasamy, S., & Rajamanickam, K. P. (2019). Minimization of test time in system on chip using artificial intelligence-based test scheduling techniques. Neural Computing and Applications,1–10
2.
go back to reference Wu, C., Fang, J., & Li, Q. (2019). Multi-material topology optimization for thermal buckling criteria. Computer Methods in Applied Mechanics and Engineering, 346, 1136–1155MathSciNetCrossRefMATH Wu, C., Fang, J., & Li, Q. (2019). Multi-material topology optimization for thermal buckling criteria. Computer Methods in Applied Mechanics and Engineering, 346, 1136–1155MathSciNetCrossRefMATH
3.
go back to reference Sivasubramanian, K., & Jayanthi, K. B. (2015). Music-inspired harmony search algorithm for fixed outline non-slicing VLSI floorplanning. World Academy of Science, Engineering and Technology, International Journal of Electrical, Computer, Energetic, Electronic and Communication Engineering, 9(6), 512–519 Sivasubramanian, K., & Jayanthi, K. B. (2015). Music-inspired harmony search algorithm for fixed outline non-slicing VLSI floorplanning. World Academy of Science, Engineering and Technology, International Journal of Electrical, Computer, Energetic, Electronic and Communication Engineering, 9(6), 512–519
4.
go back to reference Gwee, B. H., & Lim, M. H. (1999). A GA with heuristic-based decoder for IC floorplanning. Integration, 28(2), 157–172CrossRef Gwee, B. H., & Lim, M. H. (1999). A GA with heuristic-based decoder for IC floorplanning. Integration, 28(2), 157–172CrossRef
5.
go back to reference Shaik Karimullah, & D. Vishnu Vardhan (2022). Pin density technique for congestion estimation and reduction of optimized design during placement and routing. Applied Nanoscience. Shaik Karimullah, & D. Vishnu Vardhan (2022). Pin density technique for congestion estimation and reduction of optimized design during placement and routing. Applied Nanoscience.
6.
go back to reference Fernando, P. R. (2009). Genetic algorithm based design and optimization of VLSI ASICs and reconfigurable hardware Fernando, P. R. (2009). Genetic algorithm based design and optimization of VLSI ASICs and reconfigurable hardware
7.
go back to reference Grefenstette, J., Gopal, R., Rosmaita, B., & Van Gucht, D. (1985, July). Genetic algorithms for the traveling salesman problem. In Proceedings of the first International Conference on Genetic Algorithms and their Applications (Vol. 160, No. 168, pp. 160–168). Lawrence Erlbaum Grefenstette, J., Gopal, R., Rosmaita, B., & Van Gucht, D. (1985, July). Genetic algorithms for the traveling salesman problem. In Proceedings of the first International Conference on Genetic Algorithms and their Applications (Vol. 160, No. 168, pp. 160–168). Lawrence Erlbaum
8.
go back to reference Karimullah, S., & Vishnuvardhan, D. (2018) A review paper on optimization of placement and routing techniques. International Journal of Engineering and Techniques. Karimullah, S., & Vishnuvardhan, D. (2018) A review paper on optimization of placement and routing techniques. International Journal of Engineering and Techniques.
9.
go back to reference Rebaudengo, M., & Reorda, M. S. (1996). GALLO: A genetic algorithm for floorplan area optimization. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 15(8), 943–951CrossRef Rebaudengo, M., & Reorda, M. S. (1996). GALLO: A genetic algorithm for floorplan area optimization. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 15(8), 943–951CrossRef
10.
go back to reference Venkatraman, S., & Sundhararajan, M. (2017). Optimization of VLSI floorplanning using genetic algorithm. J Chem Pharm Sci JCPS, 10(1), 311–316 Venkatraman, S., & Sundhararajan, M. (2017). Optimization of VLSI floorplanning using genetic algorithm. J Chem Pharm Sci JCPS, 10(1), 311–316
11.
go back to reference Karimullah, S., Vardhan, D. V., & Basha, S. J. (2020). Floorplanning for Placement of Modules in VLSI Physical Design Using Harmony Search Technique. ICDSMLA 2019 (pp. 1929–1936). Singapore: SpringerCrossRef Karimullah, S., Vardhan, D. V., & Basha, S. J. (2020). Floorplanning for Placement of Modules in VLSI Physical Design Using Harmony Search Technique. ICDSMLA 2019 (pp. 1929–1936). Singapore: SpringerCrossRef
12.
go back to reference Yang, X. S. (2010). Biology-derived algorithms in engineering optimization. arXiv preprint arXiv:1003.1888 Yang, X. S. (2010). Biology-derived algorithms in engineering optimization. arXiv preprint arXiv:1003.1888
13.
go back to reference Funke, J., Hougardy, S., & Schneider, J. (2016). An exact algorithm for wirelength optimal placements in VLSI design. Integration, 52, 355–366CrossRef Funke, J., Hougardy, S., & Schneider, J. (2016). An exact algorithm for wirelength optimal placements in VLSI design. Integration, 52, 355–366CrossRef
14.
go back to reference Kureychik, V., & Kulakov, A. (2017, December). Algorithm of Thermal Optimization of Placement of Basic Elements of VLSI. In IV International research conference” Information technologies in Science, Management, Social sphere and Medicine (ITSMSSM 2017). Atlantis Press Kureychik, V., & Kulakov, A. (2017, December). Algorithm of Thermal Optimization of Placement of Basic Elements of VLSI. In IV International research conference” Information technologies in Science, Management, Social sphere and Medicine (ITSMSSM 2017). Atlantis Press
15.
go back to reference Chandrasekaran, G., Periyasamy, S., & Karthikeyan, P. R. (2019). Test scheduling for system on chip using modified firefly and modified ABC algorithms. SN Applied Sciences, 1(9), 1079CrossRef Chandrasekaran, G., Periyasamy, S., & Karthikeyan, P. R. (2019). Test scheduling for system on chip using modified firefly and modified ABC algorithms. SN Applied Sciences, 1(9), 1079CrossRef
16.
go back to reference Shaik Karimullah, S. J., Basha, P., Guruvyshnavi, K., & Sathish Kumar Reddy (2020). B Navyatha ”A Genetic Algorithm with Fixed Open Approach for Placements and Routings” ICCCE (pp. 599–610). Publisher Springer Shaik Karimullah, S. J., Basha, P., Guruvyshnavi, K., & Sathish Kumar Reddy (2020). B Navyatha ”A Genetic Algorithm with Fixed Open Approach for Placements and Routings” ICCCE (pp. 599–610). Publisher Springer
17.
go back to reference Vishnuvardhan, D. D., Shaik Karimullah” Monitering Physiological Variables Of Mining Workers” Ncetimes#1.0, Ijet Issn:2395-1303-2018 Vishnuvardhan, D. D., Shaik Karimullah” Monitering Physiological Variables Of Mining Workers” Ncetimes#1.0, Ijet Issn:2395-1303-2018
18.
go back to reference Jeevitheswari, B., S. Karimullah, Vishnu Vardhan (2017). A Low Power DFT Based CMOS Receiver with Dual Use of Power Lines” IJSETR 6(22), 4470–4475 Jeevitheswari, B., S. Karimullah, Vishnu Vardhan (2017). A Low Power DFT Based CMOS Receiver with Dual Use of Power Lines” IJSETR 6(22), 4470–4475
19.
go back to reference venkatraman, S., & Sundhararajan, M. (2017). Particle Swarm Optimization Algorithm For VLSI Floorplanning Problem. J Chem Pharm Sci Jcps, 10(1), 311–316 venkatraman, S., & Sundhararajan, M. (2017). Particle Swarm Optimization Algorithm For VLSI Floorplanning Problem. J Chem Pharm Sci Jcps, 10(1), 311–316
20.
go back to reference Xue, B., Zhang, M., & Browne, W. N. (2012). Particle swarm optimization for feature selection in classification: A multi-objective approach. IEEE transactions on cybernetics, 43(6), 1656–1671CrossRef Xue, B., Zhang, M., & Browne, W. N. (2012). Particle swarm optimization for feature selection in classification: A multi-objective approach. IEEE transactions on cybernetics, 43(6), 1656–1671CrossRef
21.
go back to reference Maji, K. B., Ghosh, A., Kar, R., Mandal, D., & Ghoshal, S. P. (2015, November). An evolutionary algorithm based approach for VLSI floor-planning. In 2015 International Conference on Science and Technology (TICST) (pp. 248–253). IEEE Maji, K. B., Ghosh, A., Kar, R., Mandal, D., & Ghoshal, S. P. (2015, November). An evolutionary algorithm based approach for VLSI floor-planning. In 2015 International Conference on Science and Technology (TICST) (pp. 248–253). IEEE
22.
go back to reference Laudis, L. L., Shyam, S., Jemila, C., & Suresh, V. (2018). MOBA: multi objective bat algorithm for combinatorial optimization in VLSI. Procedia Computer Science, 125, 840–846CrossRef Laudis, L. L., Shyam, S., Jemila, C., & Suresh, V. (2018). MOBA: multi objective bat algorithm for combinatorial optimization in VLSI. Procedia Computer Science, 125, 840–846CrossRef
23.
go back to reference Basir-Kazeruni, S., Yu, H., Gong, F., Hu, Y., Liu, C., & He, L. (2013). SPECO: Stochastic Perturbation based Clock tree Optimization considering temperature uncertainty. Integration, 46(1), 22–32CrossRef Basir-Kazeruni, S., Yu, H., Gong, F., Hu, Y., Liu, C., & He, L. (2013). SPECO: Stochastic Perturbation based Clock tree Optimization considering temperature uncertainty. Integration, 46(1), 22–32CrossRef
24.
go back to reference Yang, P., Yang, H., Qiu, W., Wang, S., & Li, C. (2014). Optimal approach on net routing for VLSI physical design based on Tabu-ant colonies modeling. Applied Soft Computing, 21, 376–381CrossRef Yang, P., Yang, H., Qiu, W., Wang, S., & Li, C. (2014). Optimal approach on net routing for VLSI physical design based on Tabu-ant colonies modeling. Applied Soft Computing, 21, 376–381CrossRef
25.
go back to reference Ghosh, S., & Samanta, S. (2014). Fixed structure compensator design using a constrained hybrid evolutionary optimization approach. ISA transactions, 53(4), 1119–1130CrossRef Ghosh, S., & Samanta, S. (2014). Fixed structure compensator design using a constrained hybrid evolutionary optimization approach. ISA transactions, 53(4), 1119–1130CrossRef
26.
go back to reference Karimullah, S., & Vishnuvardhan, D. (2020). Iterative Analysis of Optimization Algotithms for Placement and Routing in Asic Design. ICDSMLA 2019 (pp. 1947–1958). Singapore: SpringerCrossRef Karimullah, S., & Vishnuvardhan, D. (2020). Iterative Analysis of Optimization Algotithms for Placement and Routing in Asic Design. ICDSMLA 2019 (pp. 1947–1958). Singapore: SpringerCrossRef
27.
go back to reference Kourany, T., Ghoneima, M., Hegazi, E., & Ismail, Y. (2017). Passiot: a pareto-optimal multi-objective optimization approach for synthesis of analog circuits using sobol’indices-based engine. Integration, 58, 9–21CrossRef Kourany, T., Ghoneima, M., Hegazi, E., & Ismail, Y. (2017). Passiot: a pareto-optimal multi-objective optimization approach for synthesis of analog circuits using sobol’indices-based engine. Integration, 58, 9–21CrossRef
28.
go back to reference Chen, J., Liu, Y., Zhu, Z., & Zhu, W. (2017). An adaptive hybrid memetic algorithm for thermal-aware non-slicing VLSI floorplanning. Integration, 58, 245–252CrossRef Chen, J., Liu, Y., Zhu, Z., & Zhu, W. (2017). An adaptive hybrid memetic algorithm for thermal-aware non-slicing VLSI floorplanning. Integration, 58, 245–252CrossRef
29.
go back to reference Sivaranjani, P., & Kumar, A. S. (2015). Thermal-aware non-slicing VLSI floorplanning using a smart decision-making PSO-GA based hybrid algorithm. Circuits Systems and Signal Processing, 34(11), 3521–3542CrossRef Sivaranjani, P., & Kumar, A. S. (2015). Thermal-aware non-slicing VLSI floorplanning using a smart decision-making PSO-GA based hybrid algorithm. Circuits Systems and Signal Processing, 34(11), 3521–3542CrossRef
30.
go back to reference Karimullah, S., & Vishnuvardhan, D. (2020). Experimental Analysis of Optimization Techniques for Placement and Routing in ASIC Design. In ICDSMLA 2019 (pp. 908–917). Singapore: Springer Karimullah, S., & Vishnuvardhan, D. (2020). Experimental Analysis of Optimization Techniques for Placement and Routing in ASIC Design. In ICDSMLA 2019 (pp. 908–917). Singapore: Springer
Metadata
Title
An Improved Harmony Search Approach for Block Placement for VLSI Design Automation
Authors
Shaik Karimullah
D. Vishnuvardhan
Vidhyacharan Bhaskar
Publication date
01-09-2022
Publisher
Springer US
Published in
Wireless Personal Communications / Issue 4/2022
Print ISSN: 0929-6212
Electronic ISSN: 1572-834X
DOI
https://doi.org/10.1007/s11277-022-09909-2

Other articles of this Issue 4/2022

Wireless Personal Communications 4/2022 Go to the issue