Skip to main content
Top
Published in: Journal of Computational Electronics 1/2014

01-03-2014

Challenges and opportunities for process modeling in the nanotechnology era

Authors: J. K. Lorenz, E. Baer, A. Burenkov, A. Erdmann, P. Evanschitzky, P. Pichler

Published in: Journal of Computational Electronics | Issue 1/2014

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Process modeling is a very diverse area with respect of the processes and materials to be treated as well as concerning the methods to be used. In this paper an outline of the scope of process modeling and simulation is given. Challenges and opportunities are discussed referring especially to the challenges identified in the Modeling and Simulation chapter of the International Technology Roadmap for Semiconductors. Some related results of Fraunhofer IISB are presented. Overall, TCAD including process modeling and simulation is an indispensable tool for the further development of semiconductor technologies and devices, and offers large opportunities to support and partly enable future scaling in More Moore, but also the further improvement of More than Moore devices and systems.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
3.
go back to reference Wong, A.K.K.: Resolution Enhancement Techniques in Optical Lithography. SPIE, Bellingham (2001) CrossRef Wong, A.K.K.: Resolution Enhancement Techniques in Optical Lithography. SPIE, Bellingham (2001) CrossRef
7.
go back to reference Taflove, A.: The Finite-Difference Time-Domain Method. Artech House, Boston (1995) MATH Taflove, A.: The Finite-Difference Time-Domain Method. Artech House, Boston (1995) MATH
13.
go back to reference Lai, K., Liu, C.C., Pitera, J., Dechene, D.J., Schepis, A., Abdallah, J., Tsai, H., Guillorn, M., Cheng, J., Doerk, G., Tjio, M., Rettner, C., Odesanya, O., Ozlem, M., Lafferty, N.: Proc. SPIE 8683, 868304 (2013). doi:10.1117/12.2012440 CrossRef Lai, K., Liu, C.C., Pitera, J., Dechene, D.J., Schepis, A., Abdallah, J., Tsai, H., Guillorn, M., Cheng, J., Doerk, G., Tjio, M., Rettner, C., Odesanya, O., Ozlem, M., Lafferty, N.: Proc. SPIE 8683, 868304 (2013). doi:10.​1117/​12.​2012440 CrossRef
16.
go back to reference CFD ACE, version 2011.0, ESI group, Paris (2011) CFD ACE, version 2011.0, ESI group, Paris (2011)
19.
go back to reference ANETCH, physical etching simulator, release 0.7.5, Fraunhofer IISB, Erlangen (2009) ANETCH, physical etching simulator, release 0.7.5, Fraunhofer IISB, Erlangen (2009)
21.
go back to reference Sethian, J.A.: Set Methods: Evolving Interfaces in Geometry, Fluid Mechanics, Computer Vision, and Materials Science. Cambridge University Press, Cambridge (1996) MATH Sethian, J.A.: Set Methods: Evolving Interfaces in Geometry, Fluid Mechanics, Computer Vision, and Materials Science. Cambridge University Press, Cambridge (1996) MATH
22.
30.
go back to reference Burenkov, A., Hahn, A., Spiegel, Y., Etienne, H., Torregrosa, F.: In: Pelaz, L., Santos, I., Duffy, R., Torregrosa, F., Bourdelle, K. (eds.) Proceedings of the 19th International Conference on Ion Implantation Technology. AIP Conference Proceedings, vol. 1496, pp. 233–236 (2012). doi:10.1063/1.4766531 Burenkov, A., Hahn, A., Spiegel, Y., Etienne, H., Torregrosa, F.: In: Pelaz, L., Santos, I., Duffy, R., Torregrosa, F., Bourdelle, K. (eds.) Proceedings of the 19th International Conference on Ion Implantation Technology. AIP Conference Proceedings, vol. 1496, pp. 233–236 (2012). doi:10.​1063/​1.​4766531
37.
go back to reference Pichler, P., Ortiz, C.J., Colombeau, B., Cowern, N.E.B., Lampin, E., Claverie, A., Cristiano, F., Lerch, W., Paul, S.: In: Technical Digest of the 2004 International Electron Devices Meeting (IEDM), pp. 967–970. IEEE Press, Piscataway (2004). doi:10.1109/IEDM.2004.1419347 CrossRef Pichler, P., Ortiz, C.J., Colombeau, B., Cowern, N.E.B., Lampin, E., Claverie, A., Cristiano, F., Lerch, W., Paul, S.: In: Technical Digest of the 2004 International Electron Devices Meeting (IEDM), pp. 967–970. IEEE Press, Piscataway (2004). doi:10.​1109/​IEDM.​2004.​1419347 CrossRef
39.
go back to reference Pei, L., Duscher, G., Steen, C., Pichler, P., Ryssel, H., Napolitani, E., De Salvador, D., Piro, A.M., Terrasi, A., Severac, F., Cristiano, F., Ravichandran, K., Gupta, N., Windl, W.: J. Appl. Phys. 104, 043507 (2008). doi:10.1063/1.2967713 CrossRef Pei, L., Duscher, G., Steen, C., Pichler, P., Ryssel, H., Napolitani, E., De Salvador, D., Piro, A.M., Terrasi, A., Severac, F., Cristiano, F., Ravichandran, K., Gupta, N., Windl, W.: J. Appl. Phys. 104, 043507 (2008). doi:10.​1063/​1.​2967713 CrossRef
40.
go back to reference Steen, C., Martinez-Limia, A., Pichler, P., Ryssel, H., Paul, S., Lerch, W., Pei, L., Duscher, G., Severac, F., Cristiano, F., Windl, W.: J. Appl. Phys. 104, 023518 (2008). doi:10.1063/1.2956700 CrossRef Steen, C., Martinez-Limia, A., Pichler, P., Ryssel, H., Paul, S., Lerch, W., Pei, L., Duscher, G., Severac, F., Cristiano, F., Windl, W.: J. Appl. Phys. 104, 023518 (2008). doi:10.​1063/​1.​2956700 CrossRef
42.
43.
48.
go back to reference Bennett, N.S., Cowern, N.E.B., Smith, A.J., Gwilliam, R.M., Sealy, B.J., O’Reilly, L., McNally, P.J., Cooke, G., Kheyrandish, H.: Appl. Phys. Lett. 89, 182122 (2006). doi:10.1063/1.2382741 CrossRef Bennett, N.S., Cowern, N.E.B., Smith, A.J., Gwilliam, R.M., Sealy, B.J., O’Reilly, L., McNally, P.J., Cooke, G., Kheyrandish, H.: Appl. Phys. Lett. 89, 182122 (2006). doi:10.​1063/​1.​2382741 CrossRef
49.
go back to reference Fazzini, P.F., Cristiano, F., Talbot, E., Ben Assayag, G., Paul, S., Lerch, W., Pakfar, A., Hartmann, J.M.: Proceedings of the EMRS 2009 summer meeting symposium I: silicon and germanium issue for future CMOS devices. In: Pelaz, L., Mirabella, S., Nylandsted Larsen, A. (eds.) Thin Solid Films, vol. 518, pp. 2338–2341 (2010). doi:10.1016/j.tsf.2009.09.172 Fazzini, P.F., Cristiano, F., Talbot, E., Ben Assayag, G., Paul, S., Lerch, W., Pakfar, A., Hartmann, J.M.: Proceedings of the EMRS 2009 summer meeting symposium I: silicon and germanium issue for future CMOS devices. In: Pelaz, L., Mirabella, S., Nylandsted Larsen, A. (eds.) Thin Solid Films, vol. 518, pp. 2338–2341 (2010). doi:10.​1016/​j.​tsf.​2009.​09.​172
50.
go back to reference Zographos, N., Zechner, C., Avci, I.: In: Ashok, S., Chevallier, J., Kiesel, P., Ogino, T. (eds.) Semiconductor Defect Engineering—Materials, Synthetic Structures and Devices II. Mat. Res. Soc. Symp. Proc., vol. 994, pp. 0994–F10–01 (2007). doi:10.1557/PROC-0994-F10-01 Zographos, N., Zechner, C., Avci, I.: In: Ashok, S., Chevallier, J., Kiesel, P., Ogino, T. (eds.) Semiconductor Defect Engineering—Materials, Synthetic Structures and Devices II. Mat. Res. Soc. Symp. Proc., vol. 994, pp. 0994–F10–01 (2007). doi:10.​1557/​PROC-0994-F10-01
52.
go back to reference Hackenberg, M., Huet, K., Negru, R., Venturini, J., Fisicaro, G., La Magna, A., Pichler, P.: In: Pelaz, L., Santos, I., Duffy, R., Torregrosa, F., Bourdelle, K. (eds.) Proceedings of the 19th International Conference on Ion Implantation Technology. AIP Conference Proceedings, vol. 1496, pp. 241–244 (2012). doi:10.1063/1.4766533 Hackenberg, M., Huet, K., Negru, R., Venturini, J., Fisicaro, G., La Magna, A., Pichler, P.: In: Pelaz, L., Santos, I., Duffy, R., Torregrosa, F., Bourdelle, K. (eds.) Proceedings of the 19th International Conference on Ion Implantation Technology. AIP Conference Proceedings, vol. 1496, pp. 241–244 (2012). doi:10.​1063/​1.​4766533
53.
go back to reference Hackenberg, M., Huet, K., Negru, R., Fisicaro, G., La Magna, A., Quillec, M., Pichler, P.: Simulation of the boron build-up formation during melting laser thermal annealing. Accepted for presentation in Symposium K of the 2013 E-MRS Spring Meeting (to be published in Physica Status Solidi (c)) Hackenberg, M., Huet, K., Negru, R., Fisicaro, G., La Magna, A., Quillec, M., Pichler, P.: Simulation of the boron build-up formation during melting laser thermal annealing. Accepted for presentation in Symposium K of the 2013 E-MRS Spring Meeting (to be published in Physica Status Solidi (c))
55.
go back to reference Reid, D., Millar, C., Roy, G., Roy, S., Asenov, A.: In: Tsoukalas, D., Dimoulas, A. (eds.) Proceedings of the European Solid State Device Research Conference, 2009 (ESSDERC ’09). IEEE Press, Piscataway (2009). doi:10.1109/ESSDERC.2009.5331515 Reid, D., Millar, C., Roy, G., Roy, S., Asenov, A.: In: Tsoukalas, D., Dimoulas, A. (eds.) Proceedings of the European Solid State Device Research Conference, 2009 (ESSDERC ’09). IEEE Press, Piscataway (2009). doi:10.​1109/​ESSDERC.​2009.​5331515
58.
go back to reference Evanschitzky, P., Burenkov, A., Lorenz, J.K.: Double patterning: Simulating a variability challenge for advanced transistors. Accepted for presentation at the SISPAD 2013 conference, Glasgow Evanschitzky, P., Burenkov, A., Lorenz, J.K.: Double patterning: Simulating a variability challenge for advanced transistors. Accepted for presentation at the SISPAD 2013 conference, Glasgow
Metadata
Title
Challenges and opportunities for process modeling in the nanotechnology era
Authors
J. K. Lorenz
E. Baer
A. Burenkov
A. Erdmann
P. Evanschitzky
P. Pichler
Publication date
01-03-2014
Publisher
Springer US
Published in
Journal of Computational Electronics / Issue 1/2014
Print ISSN: 1569-8025
Electronic ISSN: 1572-8137
DOI
https://doi.org/10.1007/s10825-013-0477-4

Other articles of this Issue 1/2014

Journal of Computational Electronics 1/2014 Go to the issue