Skip to main content
Top
Published in: The Journal of Supercomputing 8/2015

01-08-2015

Design and analysis of a mesh-based wireless network-on-chip

Authors: Wen-Hsiang Hu, Chifeng Wang, Nader Bagherzadeh

Published in: The Journal of Supercomputing | Issue 8/2015

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Network-on-chip (NoC) architecture is regarded as a solution for future on-chip interconnects. However, the performance advantages of conventional NoC architectures are limited by the long latency and high power consumption due to multi-hop long-distance communication among processing elements. To solve these limitations, we employed on-chip wireless communication as express links for transferring data so that transfer latency can be reduced. A hybrid NoC architecture utilizing both wired and wireless communication approaches is proposed in this paper. We also devised a deadlock-free routing algorithm that is able to make efficient use of the incorporated wireless links. Moreover, simulated annealing optimization techniques were applied to find optimal locations for wireless routers. Cycle-accurate simulation results showed a significant improvement in transfer latency. Area and power consumption analysis demonstrates the feasibility of our proposed NoC architecture.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Literature
2.
go back to reference Benini L, De Micheli G (2002) Networks on chip: a new paradigm for systems on chip design. In: Proceedings of design, automation and test in Europe conference and exhibition. pp 418–419 Benini L, De Micheli G (2002) Networks on chip: a new paradigm for systems on chip design. In: Proceedings of design, automation and test in Europe conference and exhibition. pp 418–419
3.
go back to reference Chang M, Cong J, Kaplan A, Naik M, Reinman G, Socher E, Tam S-W (2008) CMP network-on-chip overlaid with multi-band RF-interconnect. In: High performance computer architecture, 2008. IEEE 14th International Symposium on HPCA 2008. pp 191–202 Chang M, Cong J, Kaplan A, Naik M, Reinman G, Socher E, Tam S-W (2008) CMP network-on-chip overlaid with multi-band RF-interconnect. In: High performance computer architecture, 2008. IEEE 14th International Symposium on HPCA 2008. pp 191–202
4.
go back to reference Chang MCF, Socher E, Tam S-W, Cong J, Reinman G (2008) RF interconnects for communications on-chip. In: Proceedings of the 2008 international symposium on physical design, ISPD ’08. ACM, New York, pp 78–83 Chang MCF, Socher E, Tam S-W, Cong J, Reinman G (2008) RF interconnects for communications on-chip. In: Proceedings of the 2008 international symposium on physical design, ISPD ’08. ACM, New York, pp 78–83
5.
go back to reference Dally W, Seitz C (1987) Deadlock-free message routing in multiprocessor interconnection networks. IEEE Trans Comput C-36(5):547–553 Dally W, Seitz C (1987) Deadlock-free message routing in multiprocessor interconnection networks. IEEE Trans Comput C-36(5):547–553
6.
go back to reference Deb S, Chang K, Yu X, Sah SP, Cosic M, Ganguly A, Pande PP, Belzer B, Heo DH (2013) Design of an energy-efficient CMOS-compatible NoC architecture with millimeter-wave wireless interconnects. IEEE Trans Comput 62(12):2382–2396MathSciNetCrossRef Deb S, Chang K, Yu X, Sah SP, Cosic M, Ganguly A, Pande PP, Belzer B, Heo DH (2013) Design of an energy-efficient CMOS-compatible NoC architecture with millimeter-wave wireless interconnects. IEEE Trans Comput 62(12):2382–2396MathSciNetCrossRef
7.
go back to reference Deb S, Ganguly A, Pande PP, Belzer B, Heo DH (2012) Wireless NoC as interconnection backbone for multicore chips: promises and challenges. IEEE J Emerg Sel Topics Circuits Syst 2(2):228–239 Deb S, Ganguly A, Pande PP, Belzer B, Heo DH (2012) Wireless NoC as interconnection backbone for multicore chips: promises and challenges. IEEE J Emerg Sel Topics Circuits Syst 2(2):228–239
8.
go back to reference Ganguly A, Chang K, Deb S, Pande P, Belzer B, Teuscher C (2010) Scalable hybrid wireless network-on-chip architectures for multi-core systems. IEEE Trans Comput PP(99):1 Ganguly A, Chang K, Deb S, Pande P, Belzer B, Teuscher C (2010) Scalable hybrid wireless network-on-chip architectures for multi-core systems. IEEE Trans Comput PP(99):1
9.
go back to reference Ganguly A, Chang K, Pande P, Belzer B, Nojeh A (2009) Performance evaluation of wireless networks on chip architectures. In: Quality of electronic design, 2009. ISQED 2009. pp 350–355 Ganguly A, Chang K, Pande P, Belzer B, Nojeh A (2009) Performance evaluation of wireless networks on chip architectures. In: Quality of electronic design, 2009. ISQED 2009. pp 350–355
10.
go back to reference Green W, Rooks M, Sekaric L, Vlasov Y (2007) Ultra-compact, low RF power, 10 Gb/s silicon Mach–Zehnder modulator. Optics Express 15(25):17106–17113CrossRef Green W, Rooks M, Sekaric L, Vlasov Y (2007) Ultra-compact, low RF power, 10 Gb/s silicon Mach–Zehnder modulator. Optics Express 15(25):17106–17113CrossRef
11.
go back to reference Huang D, LaRocca T, Chang M-C, Samoska L, Fung A, Campbell R, Andrews M (2008) Terahertz CMOS frequency generator using linear superposition technique. IEEE J Solid-State Circuits 43(12):2730–2738CrossRef Huang D, LaRocca T, Chang M-C, Samoska L, Fung A, Campbell R, Andrews M (2008) Terahertz CMOS frequency generator using linear superposition technique. IEEE J Solid-State Circuits 43(12):2730–2738CrossRef
13.
go back to reference Kahng A, Li B, Peh L-S, Samadi K (2009) ORION 2.0: a fast and accurate NoC power and area model for early-stage design space exploration. In: Design, automation test in Europe conferenceexhibition, 2009. DATE ’09. pp 423–428 Kahng A, Li B, Peh L-S, Samadi K (2009) ORION 2.0: a fast and accurate NoC power and area model for early-stage design space exploration. In: Design, automation test in Europe conferenceexhibition, 2009. DATE ’09. pp 423–428
14.
go back to reference Kim K, Yoon H, Kenneth KO (2000) On-chip wireless interconnection with integrated antennas. In: International electron devices meeting, 2000. IEDM Technical Digest. pp 485–488 Kim K, Yoon H, Kenneth KO (2000) On-chip wireless interconnection with integrated antennas. In: International electron devices meeting, 2000. IEDM Technical Digest. pp 485–488
16.
go back to reference Lee S, Tam S, Pefkianakis I, Lu S, Chang M, Guo C, Reinman G, Peng C, Naik M, Zhang L, et al (2009) A scalable micro wireless interconnect structure for CMPs. In: Proceedings of the 15th annualinternational conference on Mobile computing and networking. pp 217–228. ACM, New york Lee S, Tam S, Pefkianakis I, Lu S, Chang M, Guo C, Reinman G, Peng C, Naik M, Zhang L, et al (2009) A scalable micro wireless interconnect structure for CMPs. In: Proceedings of the 15th annualinternational conference on Mobile computing and networking. pp 217–228. ACM, New york
17.
go back to reference Kenneth KO, Kim K, Floyd B, Mehta J, Yoon H, Hung C-M, Bravo D, Dickson T, Guo X, Li R, Trichy N, Caserta J, Bomstad WR I, Branch J, Yang D-J, Bohorquez J, Seok E, Gao L, Sugavanam A, Lin J-J, Chen J, Brewer J (2005) On-chip antennas in silicon ICs and their application. IEEE Trans Electron Devices 52(7):1312–1323 Kenneth KO, Kim K, Floyd B, Mehta J, Yoon H, Hung C-M, Bravo D, Dickson T, Guo X, Li R, Trichy N, Caserta J, Bomstad WR I, Branch J, Yang D-J, Bohorquez J, Seok E, Gao L, Sugavanam A, Lin J-J, Chen J, Brewer J (2005) On-chip antennas in silicon ICs and their application. IEEE Trans Electron Devices 52(7):1312–1323
18.
go back to reference Pande P, Ganguly A, Chang K, Teuscher C (2009) Hybrid wireless network on chip: a new paradigm in multi-core design. In: 2nd international workshop on network on chip architectures, 2009. NoCArc 2009. pp 71–76 Pande P, Ganguly A, Chang K, Teuscher C (2009) Hybrid wireless network on chip: a new paradigm in multi-core design. In: 2nd international workshop on network on chip architectures, 2009. NoCArc 2009. pp 71–76
19.
go back to reference Pavlidis V, Friedman E (2006) 3-D topologies for networks-on-chip. In: 2006 IEEE international SOC conference. pp 285–288 Pavlidis V, Friedman E (2006) 3-D topologies for networks-on-chip. In: 2006 IEEE international SOC conference. pp 285–288
20.
go back to reference Seok E, Cao C, Shim D, Arenas D, Tanner D, Hung C-M, Kenneth KO (2008) A 410 GHz CMOS push-push oscillator with an on-chip patch antenna. In: IEEE international solid-state circuitsconference, 2008. ISSCC 2008. Digest of Technical Papers. pp 472–629 Seok E, Cao C, Shim D, Arenas D, Tanner D, Hung C-M, Kenneth KO (2008) A 410 GHz CMOS push-push oscillator with an on-chip patch antenna. In: IEEE international solid-state circuitsconference, 2008. ISSCC 2008. Digest of Technical Papers. pp 472–629
21.
go back to reference Shacham A, Bergman K, Carloni L (2008) Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Trans Comput 57(9):1246–1260MathSciNetCrossRef Shacham A, Bergman K, Carloni L (2008) Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Trans Comput 57(9):1246–1260MathSciNetCrossRef
22.
go back to reference Soteriou V, Eisley N, Wang H, Li B, Peh L-S (2006) Polaris: a system-level roadmap for on-chip interconnection networks. In: International conference on computer design, 2006. ICCD 2006. pp 134–141 Soteriou V, Eisley N, Wang H, Li B, Peh L-S (2006) Polaris: a system-level roadmap for on-chip interconnection networks. In: International conference on computer design, 2006. ICCD 2006. pp 134–141
23.
go back to reference Wang C, Hu W-H, Bagherzadeh N (2011) A wireless network-on-chip design for multicore platforms. In: Proceedings of 19th Euromicro international parallel, distributed and network-basedprocessing (PDP) conference. pp 409–416 Wang C, Hu W-H, Bagherzadeh N (2011) A wireless network-on-chip design for multicore platforms. In: Proceedings of 19th Euromicro international parallel, distributed and network-basedprocessing (PDP) conference. pp 409–416
24.
go back to reference Zhao D, Wang Y (2008) SD-MAC: design and synthesis of a hardware-efficient collision-free QoS-aware MAC protocol for wireless network-on-chip. IEEE Trans Comput 57(9):1230–1245MathSciNetCrossRef Zhao D, Wang Y (2008) SD-MAC: design and synthesis of a hardware-efficient collision-free QoS-aware MAC protocol for wireless network-on-chip. IEEE Trans Comput 57(9):1230–1245MathSciNetCrossRef
Metadata
Title
Design and analysis of a mesh-based wireless network-on-chip
Authors
Wen-Hsiang Hu
Chifeng Wang
Nader Bagherzadeh
Publication date
01-08-2015
Publisher
Springer US
Published in
The Journal of Supercomputing / Issue 8/2015
Print ISSN: 0920-8542
Electronic ISSN: 1573-0484
DOI
https://doi.org/10.1007/s11227-014-1341-4

Other articles of this Issue 8/2015

The Journal of Supercomputing 8/2015 Go to the issue

Premium Partner