Skip to main content
Top

2021 | OriginalPaper | Chapter

Design of Low Standby Power 10T SRAM Cell with Improved Write Margin

Authors : R. Manoj Kumar, P. V. Sridevi

Published in: Microelectronics, Electromagnetics and Telecommunications

Publisher: Springer Singapore

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

There is a growing concern regarding the increased standby power and reduced stability of SRAM due to continued scaling in technology node. So, there is a necessity to design a new SRAM cell which addresses the concerns related to SRAM cell. So, 10T SRAM cell is proposed with reduced standby power and enhanced stability in read, write and hold modes of operation. There is a reduction in standby power because of the usage of stacked transistors. P10T SRAM cell has decreased the standby power while holding 1 by 4.9%, 15.99% and 1.68% compared to 8T, 8TG and 9T respectively at the worst process corner FF at 0.9 V VDD. There is an increase of 262.89, 47.566, 261.75% write margin compared to 6T, 8TG, 9T SRAM cells at 0.9 V supply voltage for TT corner. The influence of process and voltage variations on write margin was studied on available and proposed SRAM cells. All designs are designed using in cadence virtuoso in 45 nm CMOS technology node.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Rad JS, Guthaus M, Hughey R (2014) Confronting the variability issues affecting the performance of next generation SRAM design to optimize and predict the speed and yield. IEEE Access 2:577–601CrossRef Rad JS, Guthaus M, Hughey R (2014) Confronting the variability issues affecting the performance of next generation SRAM design to optimize and predict the speed and yield. IEEE Access 2:577–601CrossRef
2.
go back to reference Safarulla IM, Manilal K (2014) Design of soft error tolerance technique for FPGA based soft core processors. In: 2014 international conference on advanced communication control and computing technologies (ICACCCT). IEEE, pp 1036–1040 Safarulla IM, Manilal K (2014) Design of soft error tolerance technique for FPGA based soft core processors. In: 2014 international conference on advanced communication control and computing technologies (ICACCCT). IEEE, pp 1036–1040
3.
go back to reference Ullah Z, Jaiswal MK, Cheung RC (2015) Z-TCAM: an SRAM-based architecture for TCAM. IEEE Trans Very Large Scale (VLSI) Integr Syst 23(2):402–406CrossRef Ullah Z, Jaiswal MK, Cheung RC (2015) Z-TCAM: an SRAM-based architecture for TCAM. IEEE Trans Very Large Scale (VLSI) Integr Syst 23(2):402–406CrossRef
4.
go back to reference Toh SO, Guo Z, Liu T-JK, Nikolic B (2011) Characterization of dynamic SRAM stability in 45 nm CMOS. IEEE J Solid-State Circuits 46(11):2702–2712CrossRef Toh SO, Guo Z, Liu T-JK, Nikolic B (2011) Characterization of dynamic SRAM stability in 45 nm CMOS. IEEE J Solid-State Circuits 46(11):2702–2712CrossRef
5.
go back to reference Aly RE, Faisal MI, Bayoumi MA (2005) Novel 7T SRAM cell for low power cache design. In: Proceedings of the IEEE international SOC conference, Sept 2005, pp 171–174 Aly RE, Faisal MI, Bayoumi MA (2005) Novel 7T SRAM cell for low power cache design. In: Proceedings of the IEEE international SOC conference, Sept 2005, pp 171–174
6.
go back to reference Pasandi G, Fakhraie SM (2015) A 256-kb 9T near-threshold SRAM with 1 k cells per bitline and enhanced write and read operations. IEEE Trans VLSI Syst 23(11):2438–2446CrossRef Pasandi G, Fakhraie SM (2015) A 256-kb 9T near-threshold SRAM with 1 k cells per bitline and enhanced write and read operations. IEEE Trans VLSI Syst 23(11):2438–2446CrossRef
7.
go back to reference Nalam S, Calhoun BH (2011) 5T SRAM with asymmetric sizing for improved read stability. IEEE J Solid-State Circuits 46(10):2431–2442CrossRef Nalam S, Calhoun BH (2011) 5T SRAM with asymmetric sizing for improved read stability. IEEE J Solid-State Circuits 46(10):2431–2442CrossRef
8.
go back to reference Calhoun BH, Chandrakasan AP (2007) A 256-kb 65-nm sub-threshold SRAM design for ultra-low-voltage operation. IEEE J Solid-State Circuits 42(3):680–688CrossRef Calhoun BH, Chandrakasan AP (2007) A 256-kb 65-nm sub-threshold SRAM design for ultra-low-voltage operation. IEEE J Solid-State Circuits 42(3):680–688CrossRef
9.
go back to reference Chang L, Montoye RK, Nakamura Y, Batson KA, Eickemeyer RJ, Dennard RH, Haensch W, Jamsek D (2008) An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches. IEEE J Solid-State Circuits 43(4):956–963 CrossRef Chang L, Montoye RK, Nakamura Y, Batson KA, Eickemeyer RJ, Dennard RH, Haensch W, Jamsek D (2008) An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches. IEEE J Solid-State Circuits 43(4):956–963 CrossRef
10.
go back to reference Wang B, Nguyen TQ, Do AT, Zhou J, Je M, Kim TT-H (2015) Design of an ultra-low voltage 9T SRAM with equalized bitline leakage and cam-assisted energy efficiency improvement. IEEE Trans Circ Syst I Reg Pap 62(2):441–448CrossRef Wang B, Nguyen TQ, Do AT, Zhou J, Je M, Kim TT-H (2015) Design of an ultra-low voltage 9T SRAM with equalized bitline leakage and cam-assisted energy efficiency improvement. IEEE Trans Circ Syst I Reg Pap 62(2):441–448CrossRef
11.
go back to reference Lo C-H, Huang S-Y (2011) PPN based 10T SRAM cell for low-leakage and resilient subthreshold operation. IEEE J Solid-State Circuits 46(3):695–704MathSciNetCrossRef Lo C-H, Huang S-Y (2011) PPN based 10T SRAM cell for low-leakage and resilient subthreshold operation. IEEE J Solid-State Circuits 46(3):695–704MathSciNetCrossRef
12.
go back to reference Gavaskar K, Ragupathy US, Malini V (2019) Design of novel SRAM cell using hybrid VLSI techniques for low leakage and high speed in embedded memories. Wirel Pers Commun 108(4):2311–2339CrossRef Gavaskar K, Ragupathy US, Malini V (2019) Design of novel SRAM cell using hybrid VLSI techniques for low leakage and high speed in embedded memories. Wirel Pers Commun 108(4):2311–2339CrossRef
13.
go back to reference Mishra JK, Srivastava H, Misra PK, Goswami M (2019) Analytical modelling and design of 9T SRAM cell with leakage control technique. Anal Integr Circ Sig Process 101(1):31–43CrossRef Mishra JK, Srivastava H, Misra PK, Goswami M (2019) Analytical modelling and design of 9T SRAM cell with leakage control technique. Anal Integr Circ Sig Process 101(1):31–43CrossRef
14.
go back to reference Sharma V, Gopal M, Singh P, Vishvakarma SK, Chouhan SS (2019) A robust, ultra low-power, data-dependent-power-supplied 11T SRAM cell with expanded read/write stabilities for internet-of-things applications. Analog Integr Circ Sig Process 98(2):331–346CrossRef Sharma V, Gopal M, Singh P, Vishvakarma SK, Chouhan SS (2019) A robust, ultra low-power, data-dependent-power-supplied 11T SRAM cell with expanded read/write stabilities for internet-of-things applications. Analog Integr Circ Sig Process 98(2):331–346CrossRef
15.
go back to reference Islam A, Hasan M (2012) A technique to mitigate impact of process, voltage and temperature variations on design metrics of SRAM cell. Microelectron Rel 52(2):405–411CrossRef Islam A, Hasan M (2012) A technique to mitigate impact of process, voltage and temperature variations on design metrics of SRAM cell. Microelectron Rel 52(2):405–411CrossRef
16.
go back to reference Lin Sheng, Kim Yong-Bin, Lombardi Fabrizio (2010) Design and analysis of a 32 nm PVT tolerant CMOS SRAM cell for low leakage and high stability. Integration 43:176–187CrossRef Lin Sheng, Kim Yong-Bin, Lombardi Fabrizio (2010) Design and analysis of a 32 nm PVT tolerant CMOS SRAM cell for low leakage and high stability. Integration 43:176–187CrossRef
17.
go back to reference Singh P, Vishvakarma SK (2017) Ultra-low power high stability 8T SRAM for application in object tracking system. IEEE Access 6(11):2279–2290 Singh P, Vishvakarma SK (2017) Ultra-low power high stability 8T SRAM for application in object tracking system. IEEE Access 6(11):2279–2290
18.
go back to reference Do AT et al (2011) An 8T differential SRAM with improved noise margin for bit interleaving in 65 nm CMOS. IEEE Trans Circ Syst I Reg Pap 58(6):1252–1263MathSciNetCrossRef Do AT et al (2011) An 8T differential SRAM with improved noise margin for bit interleaving in 65 nm CMOS. IEEE Trans Circ Syst I Reg Pap 58(6):1252–1263MathSciNetCrossRef
19.
go back to reference Gierczynski N, Borot B, Planes N, Brut H (2007) A new combined methodology for write margin extraction of advanced SRAM. In: Proceedings of the IEEE international conference on microelectronic test structures (ICMTS), pp 97–100 Gierczynski N, Borot B, Planes N, Brut H (2007) A new combined methodology for write margin extraction of advanced SRAM. In: Proceedings of the IEEE international conference on microelectronic test structures (ICMTS), pp 97–100
Metadata
Title
Design of Low Standby Power 10T SRAM Cell with Improved Write Margin
Authors
R. Manoj Kumar
P. V. Sridevi
Copyright Year
2021
Publisher
Springer Singapore
DOI
https://doi.org/10.1007/978-981-15-3828-5_53