Skip to main content
Top
Published in: Artificial Life and Robotics 1/2018

20-09-2017 | Original Article

Digital implementation of Hodgkin–Huxley neuron model for neurological diseases studies

Authors: Timothée Levi, Farad Khoyratee, Sylvain Saïghi, Yoshiho Ikeuchi

Published in: Artificial Life and Robotics | Issue 1/2018

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Neurological disorders affect millions of people which influence their cognitive and/or motor capabilities. The realization of a prosthesis must consider the biological activity of the cells and the connection between machine and biological cells. Biomimetic neural network is one solution in front of neurological diseases. The neuron replacement should be processed by reproducing the timing and the shape of the spike. Several mathematical equations which model neural activities exist. The most biologically plausible one is the Hodgkin–Huxley (HH) model. The connection between electrical devices and living cells require a tunable real-time system. The field programmable gate array (FPGA) is a nice component including flexibility, speed and stability. Here, we propose an implementation of HH neurons in FPGA serving as a presage for a modulating network opening a large scale of possibilities such as damage cells replacement and the study of the effect of the cells disease on the neural network.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Nicolelis MAL, Lebedev MA (2009) Principles of neural ensemble physiology underlying the operation of brain–machine interfaces. Nat Rev Neurosci 10:530–540CrossRef Nicolelis MAL, Lebedev MA (2009) Principles of neural ensemble physiology underlying the operation of brain–machine interfaces. Nat Rev Neurosci 10:530–540CrossRef
2.
go back to reference Hochberg LR, Serruya MD, Friehs GM, Mukand JA, Saleh M, Caplan AH, Branner A, Chen D, Penn RD, Donoghue JP (2006) Neuronal ensemble control of prosthetic devices by a human with tetraplegia. Nature 442:164–171CrossRef Hochberg LR, Serruya MD, Friehs GM, Mukand JA, Saleh M, Caplan AH, Branner A, Chen D, Penn RD, Donoghue JP (2006) Neuronal ensemble control of prosthetic devices by a human with tetraplegia. Nature 442:164–171CrossRef
3.
go back to reference Hochberg LR, Bacher D, Jarosiewicz B, Masse NY, Simeral JD, Vogel J, Haddadin S, Liu J, Cash SS, Van Der Smagt P, Donoghue JP (2012) Reach and grasp by people with tetraplegia using a neurally controlled robotic arm. Nat Methods 485:372–375CrossRef Hochberg LR, Bacher D, Jarosiewicz B, Masse NY, Simeral JD, Vogel J, Haddadin S, Liu J, Cash SS, Van Der Smagt P, Donoghue JP (2012) Reach and grasp by people with tetraplegia using a neurally controlled robotic arm. Nat Methods 485:372–375CrossRef
4.
go back to reference Bonifazi P, Difato F, Massobrio P, Breschi GL, Pasquale V, Levi T, Goldin M, Bornat Y, Tedesco M, Bisio M, Kanner S, Galron R, Tessadori J, Taverna S, Chiappalone M (2013) In vitro large-scale experimental and theoretical studies for the realization of bi-directional brain-prostheses. Front Neural Circuits 7:40CrossRef Bonifazi P, Difato F, Massobrio P, Breschi GL, Pasquale V, Levi T, Goldin M, Bornat Y, Tedesco M, Bisio M, Kanner S, Galron R, Tessadori J, Taverna S, Chiappalone M (2013) In vitro large-scale experimental and theoretical studies for the realization of bi-directional brain-prostheses. Front Neural Circuits 7:40CrossRef
5.
go back to reference Levi T, Lewis N, Tomas J, Saighi S, Renaud S, Bornat Y, Alvado L (2008) Neuromimetic integrated circuits, Chap 12. In: Kris I (ed) VLSI circuits for biomedical applications. Artech House, Boston, pp 241–264 Levi T, Lewis N, Tomas J, Saighi S, Renaud S, Bornat Y, Alvado L (2008) Neuromimetic integrated circuits, Chap 12. In: Kris I (ed) VLSI circuits for biomedical applications. Artech House, Boston, pp 241–264
6.
7.
go back to reference Indiveri G et al (2001) Neuromorphic silicon neuron circuits. Front Neurosci 5:73 Indiveri G et al (2001) Neuromorphic silicon neuron circuits. Front Neurosci 5:73
8.
go back to reference Levi T, Lewis N, Tomas J, Fouillat P (2008), IP-based methodology for analog design flow: application on neuromorphic engineering, NEWCAS-TAISA conference, pp 343–346 Levi T, Lewis N, Tomas J, Fouillat P (2008), IP-based methodology for analog design flow: application on neuromorphic engineering, NEWCAS-TAISA conference, pp 343–346
9.
go back to reference Indiveri G (2007) Synaptic plasticity and spike-based computation in VLSI networks of integrate-and-fire neurons. Neural Inf Process Lett Rev 11:135–146 Indiveri G (2007) Synaptic plasticity and spike-based computation in VLSI networks of integrate-and-fire neurons. Neural Inf Process Lett Rev 11:135–146
11.
go back to reference Hodgkin AL, Huxley AF (1952) A quantitative description of membrane current and its applications to conduction and excitation in nerve. J Physiol 117:500–544CrossRef Hodgkin AL, Huxley AF (1952) A quantitative description of membrane current and its applications to conduction and excitation in nerve. J Physiol 117:500–544CrossRef
12.
go back to reference Cassidy A, Andreou AG (2008) Dynamical digital silicon neurons. In: IEEE biomedical circuits and systems conference, pp. 289–292, 20–22 Cassidy A, Andreou AG (2008) Dynamical digital silicon neurons. In: IEEE biomedical circuits and systems conference, pp. 289–292, 20–22
13.
go back to reference Cassidy A, Andreou AG, Georgiou J (2011) Design of a one million neuron single FPGA neuromorphic system for real-time multimodal scene analysis. In: 45th Annual Conference CISS, 1–6 Cassidy A, Andreou AG, Georgiou J (2011) Design of a one million neuron single FPGA neuromorphic system for real-time multimodal scene analysis. In: 45th Annual Conference CISS, 1–6
14.
go back to reference Basham EJ, Parent DW (2012) Compact digital implementation of a quadratic integrate-and-fire neuron. In: 34th Annual conference of the IEEE EMBS, 3543–3548 Basham EJ, Parent DW (2012) Compact digital implementation of a quadratic integrate-and-fire neuron. In: 34th Annual conference of the IEEE EMBS, 3543–3548
15.
go back to reference Ambroise M, Levi T, Joucla S, Yvert B, Saighi S (2013) Real-time biomimetic central pattern generators into FPGA for hybrid experiments. Front Neurosci 7:215CrossRef Ambroise M, Levi T, Joucla S, Yvert B, Saighi S (2013) Real-time biomimetic central pattern generators into FPGA for hybrid experiments. Front Neurosci 7:215CrossRef
16.
go back to reference Grassia F, Levi T, Kohno T, Saighi S (2014) Silicon neuron: digital hardware implementation of the quartic model. J Artif Life Robot 19:215–219CrossRef Grassia F, Levi T, Kohno T, Saighi S (2014) Silicon neuron: digital hardware implementation of the quartic model. J Artif Life Robot 19:215–219CrossRef
17.
go back to reference Joucla S, Ambroise M, Levi T, Lafon T, Chauvet P, Saïghi S, Bornat Y, Lewis N, Renaud S, Yvert B (2016) Generation of locomotor-like activity in the isolated rat spinal cord using intraspinal electrical microstimulation driven by a digital neuromorphic CPG. Front Neurosci 10:67CrossRef Joucla S, Ambroise M, Levi T, Lafon T, Chauvet P, Saïghi S, Bornat Y, Lewis N, Renaud S, Yvert B (2016) Generation of locomotor-like activity in the isolated rat spinal cord using intraspinal electrical microstimulation driven by a digital neuromorphic CPG. Front Neurosci 10:67CrossRef
18.
go back to reference Bonabi Y, Asgharian H, Safari S, Ahmadabadi M (2014) FPGA implementation of a biological neural network based on the Hodgkin–Huxley neuron model. Front Neurosci 8:379 Bonabi Y, Asgharian H, Safari S, Ahmadabadi M (2014) FPGA implementation of a biological neural network based on the Hodgkin–Huxley neuron model. Front Neurosci 8:379
19.
go back to reference Lu M, Wang J-L, Wen J, Dong X-W (2016) Implementation of Hodgkin–Huxlet neuron model in FPGAs. In: Asia-Pacific international symposium on electromagnetic compatibility, vol 1, pp 1115–1117 Lu M, Wang J-L, Wen J, Dong X-W (2016) Implementation of Hodgkin–Huxlet neuron model in FPGAs. In: Asia-Pacific international symposium on electromagnetic compatibility, vol 1, pp 1115–1117
20.
go back to reference Mejia L, Litterman N, Ikeuchi Y, De la Torre-Ubieta L, Bennett E, Zhang C, Harper W, Bonni A (2013) A novel Hap1–Tsc1 interaction regulates neuronal mTORC1 signaling and morphogenesis in the brain. J Neurosci 33(46):18015–18021CrossRef Mejia L, Litterman N, Ikeuchi Y, De la Torre-Ubieta L, Bennett E, Zhang C, Harper W, Bonni A (2013) A novel Hap1–Tsc1 interaction regulates neuronal mTORC1 signaling and morphogenesis in the brain. J Neurosci 33(46):18015–18021CrossRef
21.
go back to reference Davison A, Feng J, Brown D (2000) A reduced compartmental model of the mitral cell for use in network models of the olfactory bulb. Brain Res Bull 51(5):393–399CrossRef Davison A, Feng J, Brown D (2000) A reduced compartmental model of the mitral cell for use in network models of the olfactory bulb. Brain Res Bull 51(5):393–399CrossRef
22.
go back to reference Capogrosso M et al (2016) A brain–spine interface alleviating gait deficits after spinal cord injury in primates. Nature 539:284–288CrossRef Capogrosso M et al (2016) A brain–spine interface alleviating gait deficits after spinal cord injury in primates. Nature 539:284–288CrossRef
23.
go back to reference Ambroise M, Buccelli S, Grassia F, Pirog A, Bornat Y, Chiappalone M, Levi T (2017) Biomimetic neural network for modifying biological dynamics during hybrid experiments. J Artif Life Robot 23:1–6CrossRef Ambroise M, Buccelli S, Grassia F, Pirog A, Bornat Y, Chiappalone M, Levi T (2017) Biomimetic neural network for modifying biological dynamics during hybrid experiments. J Artif Life Robot 23:1–6CrossRef
Metadata
Title
Digital implementation of Hodgkin–Huxley neuron model for neurological diseases studies
Authors
Timothée Levi
Farad Khoyratee
Sylvain Saïghi
Yoshiho Ikeuchi
Publication date
20-09-2017
Publisher
Springer Japan
Published in
Artificial Life and Robotics / Issue 1/2018
Print ISSN: 1433-5298
Electronic ISSN: 1614-7456
DOI
https://doi.org/10.1007/s10015-017-0397-7

Other articles of this Issue 1/2018

Artificial Life and Robotics 1/2018 Go to the issue