Skip to main content
Top
Published in: Journal of Electronic Materials 10/2023

20-07-2023 | Original Research Article

Effect of Potassium Iodate-Based Slurry for Polishing of Ruthenium (Ru) as Advanced Interconnects

Authors: Jenasree Hazarika, Anusuya Talukdar, Prasanna Venkatesh Rajaraman

Published in: Journal of Electronic Materials | Issue 10/2023

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

With the reduction of technology nodes to less than 10 nm, ruthenium (Ru) has emerged as a viable replacement for copper (Cu) for back-end-of-the-line (BEOL) interconnects. Good surface uniformity while maintaining an appropriate thickness of Ru is required during the fabrication process, which can be achieved by employing the chemical mechanical planarization (CMP) process. However, a crucial step in the CMP process is to attain reasonable removal rates of high-mechanical-strength and chemically inert metals such as Ru. Hence, this study investigates a competent CMP slurry comprising potassium iodate (KIO3) as an oxidizer and fumed silica as an abrasive for polishing Ru. The polishing results show that adding KIO3 to fumed silica modifies the silica particles, thereby enhancing the removal rates of the metal. thermogravimetric analysis (TGA), Fourier transform infrared spectroscopy (FTIR) and ultraviolet-visible spectroscopy (UV-Vis) characterization confirm the modification of the silica particles by KIO3 as an oxidizer. The studies reveal that the abrasive concentration usage was reduced to 60% due to silica modification. The surface morphology of the treated metal surface was evaluated using field emission scanning electron microscopy (FESEM). No adsorbed contamination or pitting is observed on the metal surface. The inhibition effect of 1,2,3-benzotriazole (BTA) was investigated in detail by performing potentiodynamic polarization experiments. The nature of the metal dissolution in KIO3 was investigated by examining the effect of solution temperature on the etch rates. The thermodynamic process of the system was found to be endothermic in nature, and the dissolution followed an associative mechanism. The results reported in this paper reveal that the proposed slurry can be used to significantly improve Ru polishing efficiency and provide desired selectivity without compromising the surface quality of the metal.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Appendix
Available only for authorised users
Literature
1.
go back to reference P. Kapur, and G. Chandra, Technology and reliability constrained future copper interconnects. II. Performance implications. IEEE Electron Devices 49(4), 598–604 (2002).CrossRef P. Kapur, and G. Chandra, Technology and reliability constrained future copper interconnects. II. Performance implications. IEEE Electron Devices 49(4), 598–604 (2002).CrossRef
2.
go back to reference D. Edelstein, C. Uzoh, C. Cabral, P. DeHaven, P. Buchwalter, A. Simon, E. Cooney, S. Malhotra, D. Klaus, H. Rathore, B. Agarwala, and D. Nguyen, “A high performance liner for copper damascene interconnects,” in IEEE International Interconnect Technology Conference (IITC), 2002, pp. 9–11. doi: https://doi.org/10.1109/iitc.2001.930001. D. Edelstein, C. Uzoh, C. Cabral, P. DeHaven, P. Buchwalter, A. Simon, E. Cooney, S. Malhotra, D. Klaus, H. Rathore, B. Agarwala, and D. Nguyen, “A high performance liner for copper damascene interconnects,” in IEEE International Interconnect Technology Conference (IITC), 2002, pp. 9–11. doi: https://​doi.​org/​10.​1109/​iitc.​2001.​930001.
5.
go back to reference K.V. Sagi, H.P. Amanapu, S.R. Alety, and S.V. Babu, Potassium permanganate-based slurry to reduce the galvanic corrosion of the Cu/Ru/TiN barrier liner stack during CMP in the BEOL interconnects. ECS J. Solid State Sci. Technol. 5(5), P256–P263 (2016). https://doi.org/10.1149/2.0141605jss.CrossRef K.V. Sagi, H.P. Amanapu, S.R. Alety, and S.V. Babu, Potassium permanganate-based slurry to reduce the galvanic corrosion of the Cu/Ru/TiN barrier liner stack during CMP in the BEOL interconnects. ECS J. Solid State Sci. Technol. 5(5), P256–P263 (2016). https://​doi.​org/​10.​1149/​2.​0141605jss.CrossRef
12.
go back to reference L. G. Wen, C. Adelmann, O. V. Pedreira, S. Dutta, M. Popovici, B. Briggs, N. Heylen, K. Vanstreels, C. J. Wilson, S. Van Elshocht, K. Croes, J. Bommels, and Z. Tokei, “Ruthenium metallization for advanced interconnects,” 2016 IEEE Int. Interconnect Technol. Conf./Adv. Met. Conf. IITC/AMC 2016, pp. 34–36, 2016, doi: https://doi.org/10.1109/IITC-AMC.2016.7507651. L. G. Wen, C. Adelmann, O. V. Pedreira, S. Dutta, M. Popovici, B. Briggs, N. Heylen, K. Vanstreels, C. J. Wilson, S. Van Elshocht, K. Croes, J. Bommels, and Z. Tokei, “Ruthenium metallization for advanced interconnects,” 2016 IEEE Int. Interconnect Technol. Conf./Adv. Met. Conf. IITC/AMC 2016, pp. 34–36, 2016, doi: https://​doi.​org/​10.​1109/​IITC-AMC.​2016.​7507651.
14.
go back to reference I.-K. Kim, Y.N. Prasad, T.-Y. Kwon, H.-M. Kim, A.A. Busnaina, and J.-G. Park, Citric acid and NaIO4 based alkaline cleaning solution for particle removal during post-Ru CMP cleaning. J. Electrochem. Soc. 158(10), H1052 (2011).CrossRef I.-K. Kim, Y.N. Prasad, T.-Y. Kwon, H.-M. Kim, A.A. Busnaina, and J.-G. Park, Citric acid and NaIO4 based alkaline cleaning solution for particle removal during post-Ru CMP cleaning. J. Electrochem. Soc. 158(10), H1052 (2011).CrossRef
23.
go back to reference J.M. Steigerwald, S.P. Murarka, and R.J. Gutmann, Chemical mechanical planarization of microelectronic materials (New York: Wiley, 2008). J.M. Steigerwald, S.P. Murarka, and R.J. Gutmann, Chemical mechanical planarization of microelectronic materials (New York: Wiley, 2008).
28.
go back to reference J. Bian, J. Quanci, and M. VanHanehem, Removal of TaN/Ta barrier with variable selectivity to copper and TEOS. MRS Online Proc. Libr., 732, 2002. J. Bian, J. Quanci, and M. VanHanehem, Removal of TaN/Ta barrier with variable selectivity to copper and TEOS. MRS Online Proc. Libr., 732, 2002.
29.
go back to reference A.H. Liu, R. Solis, and J. Givens, Development of a robust KIO3 tungsten CMP process. MRS Online Proc. Libr. 566(1), 83–88 (1999).CrossRef A.H. Liu, R. Solis, and J. Givens, Development of a robust KIO3 tungsten CMP process. MRS Online Proc. Libr. 566(1), 83–88 (1999).CrossRef
32.
go back to reference Z. Han, Reticle Cleaning: Don’t Go Gently…. BACUS NEWS, vol. 32, May 2016. Z. Han, Reticle Cleaning: Don’t Go Gently…. BACUS NEWS, vol. 32, May 2016.
33.
go back to reference Q. Luo, D.R. Campbell, and S.V. Babu, Chemical—mechanical polishing of copper in alkaline media. Thin Solid Film. 311, 177–182 (1997).CrossRef Q. Luo, D.R. Campbell, and S.V. Babu, Chemical—mechanical polishing of copper in alkaline media. Thin Solid Film. 311, 177–182 (1997).CrossRef
35.
go back to reference T.J.M. Kuijer, L.J. Giling, and J. Bloem, Gas phase etching of silicon with HCl. J. Cryst. Growth 22(1), 29–33 (1974).CrossRef T.J.M. Kuijer, L.J. Giling, and J. Bloem, Gas phase etching of silicon with HCl. J. Cryst. Growth 22(1), 29–33 (1974).CrossRef
40.
43.
go back to reference M.F. Raduly, S.M. Doncea, and R. Ion, Lycopene determination in tomatoes by different spectral techniques. J. Nanomater. Biostructures 6(3), 1349–1356 (2011). M.F. Raduly, S.M. Doncea, and R. Ion, Lycopene determination in tomatoes by different spectral techniques. J. Nanomater. Biostructures 6(3), 1349–1356 (2011).
46.
go back to reference N.R. Dhongde, P.K. Baranwal, and P.V. Rajaraman, Functionalization of graphene oxide with an ionic liquid (1-butyl-3-methylimidazolium acetate): preparation of epoxy-based coating on carbon steel for anticorrosive applications. J. Appl. Polym. Sci. (2023). https://doi.org/10.1002/app.54026.CrossRef N.R. Dhongde, P.K. Baranwal, and P.V. Rajaraman, Functionalization of graphene oxide with an ionic liquid (1-butyl-3-methylimidazolium acetate): preparation of epoxy-based coating on carbon steel for anticorrosive applications. J. Appl. Polym. Sci. (2023). https://​doi.​org/​10.​1002/​app.​54026.CrossRef
52.
go back to reference M. Yu, X. Qiao, X. Dong, and K. Sun, Effect of particle modification on the shear thickening behaviors of the suspensions of silica nanoparticles in PEG. Colloid Polym. Sci. 297, 1767 (2018).CrossRef M. Yu, X. Qiao, X. Dong, and K. Sun, Effect of particle modification on the shear thickening behaviors of the suspensions of silica nanoparticles in PEG. Colloid Polym. Sci. 297, 1767 (2018).CrossRef
54.
go back to reference S.C. Padmanabhan, J. McGrath, M. Bardosova, and M.E. Pemble, A facile method for the synthesis of highly monodisperse silica@gold@silica core-shell-shell particles and their use in the fabrication of three-dimensional metallodielectric photonic crystals. J. Mater. Chem. 22(24), 11978 (2012). https://doi.org/10.1039/c2jm31706k.CrossRef S.C. Padmanabhan, J. McGrath, M. Bardosova, and M.E. Pemble, A facile method for the synthesis of highly monodisperse silica@gold@silica core-shell-shell particles and their use in the fabrication of three-dimensional metallodielectric photonic crystals. J. Mater. Chem. 22(24), 11978 (2012). https://​doi.​org/​10.​1039/​c2jm31706k.CrossRef
57.
go back to reference B.C. Peethala, H.P. Amanapu, U.R.K. Lagudu, and S.V. Babu, Cobalt Polishing with reduced galvanic corrosion at copper∕cobalt interface using hydrogen peroxide as an oxidizer in colloidal silica-based slurries. J. Electrochem. Soc. 159(6), H582 (2012). https://doi.org/10.1149/2.073206jes.CrossRef B.C. Peethala, H.P. Amanapu, U.R.K. Lagudu, and S.V. Babu, Cobalt Polishing with reduced galvanic corrosion at copper∕cobalt interface using hydrogen peroxide as an oxidizer in colloidal silica-based slurries. J. Electrochem. Soc. 159(6), H582 (2012). https://​doi.​org/​10.​1149/​2.​073206jes.CrossRef
58.
go back to reference R.P. Venkatesh, T.-Y. Kwon, Y.N. Prasad, S. Ramanathan, and J.-G. Park, Characterization of TMAH based cleaning solution for post Cu-CMP application. Microelectron. Eng. 102, 74–80 (2013).CrossRef R.P. Venkatesh, T.-Y. Kwon, Y.N. Prasad, S. Ramanathan, and J.-G. Park, Characterization of TMAH based cleaning solution for post Cu-CMP application. Microelectron. Eng. 102, 74–80 (2013).CrossRef
59.
go back to reference N.K. Allam, A.A. Nazeer, and E.A. Ashour, A review of the effects of benzotriazole on the corrosion of copper and copper alloys in clean and polluted environments. J. Appl. Electrochem. 39(7), 961 (2009).CrossRef N.K. Allam, A.A. Nazeer, and E.A. Ashour, A review of the effects of benzotriazole on the corrosion of copper and copper alloys in clean and polluted environments. J. Appl. Electrochem. 39(7), 961 (2009).CrossRef
61.
go back to reference H.-Y. Ryu, B.-J. Cho, N.P. Yerriboina, C.-H. Lee, J.-K. Hwang, S. Hamada, Y. Wada, H. Hiyama, and J.-G. Park, Selection and optimization of corrosion inhibitors for improved Cu CMP and Post-Cu CMP cleaning. ECS J. Solid State Sci. Technol. 8(5), P3058 (2019). https://doi.org/10.1149/2.0101905jss.CrossRef H.-Y. Ryu, B.-J. Cho, N.P. Yerriboina, C.-H. Lee, J.-K. Hwang, S. Hamada, Y. Wada, H. Hiyama, and J.-G. Park, Selection and optimization of corrosion inhibitors for improved Cu CMP and Post-Cu CMP cleaning. ECS J. Solid State Sci. Technol. 8(5), P3058 (2019). https://​doi.​org/​10.​1149/​2.​0101905jss.CrossRef
65.
go back to reference N. Nikooei, M.G. Dekamin, and E. Valiey, Benzene-1,3,5-tricarboxylic acid-functionalized MCM-41 as a novel and recoverable hybrid catalyst for expeditious and efficient synthesis of 2,3-dihydroquinazolin-4(1H)-ones via one-pot three-component reaction. Res. Chem. Intermed. 46(8), 3891 (2020). https://doi.org/10.1007/s11164-020-04179-8.CrossRef N. Nikooei, M.G. Dekamin, and E. Valiey, Benzene-1,3,5-tricarboxylic acid-functionalized MCM-41 as a novel and recoverable hybrid catalyst for expeditious and efficient synthesis of 2,3-dihydroquinazolin-4(1H)-ones via one-pot three-component reaction. Res. Chem. Intermed. 46(8), 3891 (2020). https://​doi.​org/​10.​1007/​s11164-020-04179-8.CrossRef
67.
go back to reference S. Badgujar, C.E. Song, S. Oh, W.S. Shin, S.-J. Moon, J.-C. Lee, I.H. Jung, and S.K. Lee, Highly efficient and thermally stable fullerene-free organic solar cells based on a small molecule donor and acceptor. J. Mater. Chem. A 4(42), 16335 (2016). https://doi.org/10.1039/C6TA06367E.CrossRef S. Badgujar, C.E. Song, S. Oh, W.S. Shin, S.-J. Moon, J.-C. Lee, I.H. Jung, and S.K. Lee, Highly efficient and thermally stable fullerene-free organic solar cells based on a small molecule donor and acceptor. J. Mater. Chem. A 4(42), 16335 (2016). https://​doi.​org/​10.​1039/​C6TA06367E.CrossRef
70.
go back to reference A. Gautam, T. Siva, S. Sathiyanarayanan, K.V. Gobi, and R. Subasri, Capped inhibitor-loaded halloysite nanoclay-based self-healing silica coatings for corrosion protection of mild steel. Ceram. Int. 48(20), 30151 (2022).CrossRef A. Gautam, T. Siva, S. Sathiyanarayanan, K.V. Gobi, and R. Subasri, Capped inhibitor-loaded halloysite nanoclay-based self-healing silica coatings for corrosion protection of mild steel. Ceram. Int. 48(20), 30151 (2022).CrossRef
71.
go back to reference B.P. da Silva, V.S. Saji, and I.V. Aoki, Rapid and eco-friendly one-step synthesis of dodecylamine-encapsulated mesoporous silica nanocontainers. Microporous Mesoporous Mater. 341, 112109 (2022).CrossRef B.P. da Silva, V.S. Saji, and I.V. Aoki, Rapid and eco-friendly one-step synthesis of dodecylamine-encapsulated mesoporous silica nanocontainers. Microporous Mesoporous Mater. 341, 112109 (2022).CrossRef
72.
go back to reference L. Zhou, X. Wang, X. Zhao, J. Dai, Y. Wang, W. Guo, Z. Li, and W. Li, 2-Chloromethylbenzimidazole loaded and polyethyleneimine/poly(sodium-p-styrenesulfonate) decorated fumed silica as filler to prepare pH stimuli-responsive and self-healing epoxy composite coating. Prog. Org. Coatings 174, 107307 (2023). https://doi.org/10.1016/j.porgcoat.2022.107307.CrossRef L. Zhou, X. Wang, X. Zhao, J. Dai, Y. Wang, W. Guo, Z. Li, and W. Li, 2-Chloromethylbenzimidazole loaded and polyethyleneimine/poly(sodium-p-styrenesulfonate) decorated fumed silica as filler to prepare pH stimuli-responsive and self-healing epoxy composite coating. Prog. Org. Coatings 174, 107307 (2023). https://​doi.​org/​10.​1016/​j.​porgcoat.​2022.​107307.CrossRef
73.
go back to reference R. Carpio, J. Farkas, and R. Jairath, Initial study on copper CMP slurry chemistries. Thin Solid Films 266(95), 238 (1995).CrossRef R. Carpio, J. Farkas, and R. Jairath, Initial study on copper CMP slurry chemistries. Thin Solid Films 266(95), 238 (1995).CrossRef
74.
go back to reference J. Cheng, T. Wang, L. Jiang, and X. Lu, Surface characteristics of ruthenium in periodate-based slurry during chemical mechanical polishing. Appl. Surf. Sci. 351, 401 (2015). CrossRef J. Cheng, T. Wang, L. Jiang, and X. Lu, Surface characteristics of ruthenium in periodate-based slurry during chemical mechanical polishing. Appl. Surf. Sci. 351, 401 (2015). CrossRef
Metadata
Title
Effect of Potassium Iodate-Based Slurry for Polishing of Ruthenium (Ru) as Advanced Interconnects
Authors
Jenasree Hazarika
Anusuya Talukdar
Prasanna Venkatesh Rajaraman
Publication date
20-07-2023
Publisher
Springer US
Published in
Journal of Electronic Materials / Issue 10/2023
Print ISSN: 0361-5235
Electronic ISSN: 1543-186X
DOI
https://doi.org/10.1007/s11664-023-10585-6

Other articles of this Issue 10/2023

Journal of Electronic Materials 10/2023 Go to the issue