Skip to main content
Top
Published in: The Journal of Supercomputing 8/2015

01-08-2015

In-order delivery approach for 2D and 3D NoCs

Authors: Masoud Daneshtalab, Masoumeh Ebrahimi, Sergei Dytckov, Juha Plosila

Published in: The Journal of Supercomputing | Issue 8/2015

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

In many applications, it is critical to guarantee the in-order delivery of requests from the master cores to the slave cores, so that the requests can be executed in the correct order without requiring buffers. Since in NoCs packets may use different paths and on the other hand traffic congestion varies on different routes, the in-order delivery constraint cannot be met without support. To guarantee the in-order delivery, traditional approaches either use dimension-order routing or employ reordering buffers at network interfaces. Dimension-order routing degrades the performance considerably while the usage of reordering buffers imposes large area overhead. In this paper, we present a mechanism allowing packets to be routed through multiple paths in the network, helping to balance the traffic load while guaranteeing the in-order delivery. The proposed method combines the advantages of both deterministic and adaptive routing algorithms. The simple idea is to use different deterministic algorithms for independent flows. This approach neither requires reordering buffers nor limits packets to use a single path. The algorithm is simple and practical with negligible area overhead over dimension-order routing. The concept is investigated in both 2D and 3D mesh networks.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Palesi M, Daneshtalab M (2014) Routing algorithms in networks-on-chip. Springer, BerlinCrossRef Palesi M, Daneshtalab M (2014) Routing algorithms in networks-on-chip. Springer, BerlinCrossRef
2.
go back to reference Pavlidis VF, Friedman EG (2007) 3-D topologies for networks-on-chip. IEEE Trans Very Large Scale Integr VLSI Syst 15(10):1081–1090CrossRef Pavlidis VF, Friedman EG (2007) 3-D topologies for networks-on-chip. IEEE Trans Very Large Scale Integr VLSI Syst 15(10):1081–1090CrossRef
3.
go back to reference Mubeen S, Kumar S (2010) Designing efficient source routing for mesh topology network on chip platforms. In: Proceedings of the 13th euromicro conference on digital system design: architectures, methods and tools, 2010, pp 181–188 Mubeen S, Kumar S (2010) Designing efficient source routing for mesh topology network on chip platforms. In: Proceedings of the 13th euromicro conference on digital system design: architectures, methods and tools, 2010, pp 181–188
4.
go back to reference Daneshtalab M, Ebrahimi M, Liljeberg P, Plosila J, Tenhunen H (2010) Input–output selection based router for networks-on-chip. In: IEEE computer society annual symposium on VLSI (ISVLSI), 2010, pp 92–97 Daneshtalab M, Ebrahimi M, Liljeberg P, Plosila J, Tenhunen H (2010) Input–output selection based router for networks-on-chip. In: IEEE computer society annual symposium on VLSI (ISVLSI), 2010, pp 92–97
5.
go back to reference Ebrahimi M, Daneshtalab M, Liljeberg P, Plosila J, Tenhunen H (2011) Agent-based on-chip network using efficient selection method. In: Proceedings of 19th IFIP/IEEE international conference on very large scale integration (VLSI-SoC), 2011, pp 284–289 Ebrahimi M, Daneshtalab M, Liljeberg P, Plosila J, Tenhunen H (2011) Agent-based on-chip network using efficient selection method. In: Proceedings of 19th IFIP/IEEE international conference on very large scale integration (VLSI-SoC), 2011, pp 284–289
6.
go back to reference Wang X, Mak T, Yingtao Jiang MY, Daneshtalab M, Palesi M (2013) On self-tuning networks-on-chip for dynamic network-flow dominance adaptation. In: Proceedings of seventh IEEE/ACM international symposium on networks on chip (NoCS), 2013, pp 1–8 Wang X, Mak T, Yingtao Jiang MY, Daneshtalab M, Palesi M (2013) On self-tuning networks-on-chip for dynamic network-flow dominance adaptation. In: Proceedings of seventh IEEE/ACM international symposium on networks on chip (NoCS), 2013, pp 1–8
7.
go back to reference Murali S, Atienza D, Benini L, De Micheli G (2007) A method for routing packets across multiple paths in NoCs with in-order delivery and fault-tolerance gaurantees. VLSI Des 1–11. doi:10.1155/2007/37627 Murali S, Atienza D, Benini L, De Micheli G (2007) A method for routing packets across multiple paths in NoCs with in-order delivery and fault-tolerance gaurantees. VLSI Des 1–11. doi:10.​1155/​2007/​37627
8.
go back to reference Ebrahimi M, Jahangireyan A (2013) Aerodynamic optimization of airfoils using adaptive parameterization and genetic algorithm. J Optim Theory Appl 162:257–271 Ebrahimi M, Jahangireyan A (2013) Aerodynamic optimization of airfoils using adaptive parameterization and genetic algorithm. J Optim Theory Appl 162:257–271
9.
go back to reference Wang X, Yang M, Jiang Y, Mak T, Daneshtalab M, Palesi M (2014) On self-tuning networks-on-chip for dynamic network-flow dominance adaptation. ACM Trans Embed Comput Syst (TECS) 13(2):73–94 Wang X, Yang M, Jiang Y, Mak T, Daneshtalab M, Palesi M (2014) On self-tuning networks-on-chip for dynamic network-flow dominance adaptation. ACM Trans Embed Comput Syst (TECS) 13(2):73–94
10.
go back to reference Li M, Zeng Q-A, Jone W-B (2006) DyXY—a proximity congestion-aware deadlock-free dynamic routing method for network on chip. In: Proceedings of 43rd ACM/IEEE design automation conference, 2006, pp 849–852 Li M, Zeng Q-A, Jone W-B (2006) DyXY—a proximity congestion-aware deadlock-free dynamic routing method for network on chip. In: Proceedings of 43rd ACM/IEEE design automation conference, 2006, pp 849–852
11.
go back to reference Ebrahimi M, Daneshtalab M, Liljeberg P, Plosila J, Tenhunen H (2011) Exploring partitioning methods for 3D networks-on-chip utilizing adaptive routing model. In: Proceedings of the fifth ACM/IEEE international symposium on networks-on-chip, 2011, pp 73–80 Ebrahimi M, Daneshtalab M, Liljeberg P, Plosila J, Tenhunen H (2011) Exploring partitioning methods for 3D networks-on-chip utilizing adaptive routing model. In: Proceedings of the fifth ACM/IEEE international symposium on networks-on-chip, 2011, pp 73–80
12.
go back to reference Ebrahimi M, Daneshtalab M, Liljeberg P, Plosila J, Flich J, Tenhunen H (2012) Path-based partitioning methods for 3D networks-on-chip with minimal adaptive routing. IEEE Trans Comput 63:718–733 Ebrahimi M, Daneshtalab M, Liljeberg P, Plosila J, Flich J, Tenhunen H (2012) Path-based partitioning methods for 3D networks-on-chip with minimal adaptive routing. IEEE Trans Comput 63:718–733
13.
go back to reference Palesi M, Holsmark R, Wang X, Kumar S, Yang M, Jiang Y, Catania V (2010) An efficient technique for in-order packet delivery with adaptive routing algorithms in networks on chip. In: Proceedings of the 13th euromicro conference on digital system design: architectures, methods and tools, 2010, pp 37–44 Palesi M, Holsmark R, Wang X, Kumar S, Yang M, Jiang Y, Catania V (2010) An efficient technique for in-order packet delivery with adaptive routing algorithms in networks on chip. In: Proceedings of the 13th euromicro conference on digital system design: architectures, methods and tools, 2010, pp 37–44
14.
go back to reference Devadas S, Cho MH, Shim KS, Lis M (2009) Guaranteed in-order packet delivery using exclusive dynamic virtual channel allocation, Technical Report, CSAIR-TR-2009-036, August 2009. Massachusetts Institute of Technology Devadas S, Cho MH, Shim KS, Lis M (2009) Guaranteed in-order packet delivery using exclusive dynamic virtual channel allocation, Technical Report, CSAIR-TR-2009-036, August 2009. Massachusetts Institute of Technology
15.
go back to reference Lis M, Cho MH, Shim KS, Devadas S (2010) Path-diverse in-order routing. In: Proceedings of international conference on green circuits and systems (ICGCS), 2010, pp 311–316 Lis M, Cho MH, Shim KS, Devadas S (2010) Path-diverse in-order routing. In: Proceedings of international conference on green circuits and systems (ICGCS), 2010, pp 311–316
16.
go back to reference Ebrahimi M, Daneshtalab M, Plosila J (2013) In-order delivery approach for 3D NoCs. In: Proceedings of 17th CSI international symposium on computer architecture & digital systems (CADS), pp 93–98 Ebrahimi M, Daneshtalab M, Plosila J (2013) In-order delivery approach for 3D NoCs. In: Proceedings of 17th CSI international symposium on computer architecture & digital systems (CADS), pp 93–98
17.
go back to reference Wang H-S, Zhu X, Peh L-S, Malik S (2002) Orion: a power-performance simulator for interconnection networks. In: Proceedings of 35th annual IEEE/ACM international symposium on microarchitecture (MICRO), 2002, pp 294–305 Wang H-S, Zhu X, Peh L-S, Malik S (2002) Orion: a power-performance simulator for interconnection networks. In: Proceedings of 35th annual IEEE/ACM international symposium on microarchitecture (MICRO), 2002, pp 294–305
18.
go back to reference Ebrahimi M, Tenhunen H, Dehyadegari M (2013) Fuzzy-based adaptive routing algorithm for networks-on-chip. J Syst Archit 59(7):516–527CrossRef Ebrahimi M, Tenhunen H, Dehyadegari M (2013) Fuzzy-based adaptive routing algorithm for networks-on-chip. J Syst Archit 59(7):516–527CrossRef
19.
go back to reference Tol EBVD, Jaspers EGT (2002) Mapping of MPEG-4 decoding on a flexible architecture platform. In: Proceedings of media processors, 2002, pp 1–13 Tol EBVD, Jaspers EGT (2002) Mapping of MPEG-4 decoding on a flexible architecture platform. In: Proceedings of media processors, 2002, pp 1–13
20.
go back to reference Martin MMK, Sorin DJ, Beckmann BM, Marty MR, Xu M, Alameldeen AR, Moore KE, Hill MD, Wood DA (2005) Multifacet’s general execution-driven multiprocessor simulator (GEMS) toolset. SIGARCH Comput Arch News 33(4):92–99CrossRef Martin MMK, Sorin DJ, Beckmann BM, Marty MR, Xu M, Alameldeen AR, Moore KE, Hill MD, Wood DA (2005) Multifacet’s general execution-driven multiprocessor simulator (GEMS) toolset. SIGARCH Comput Arch News 33(4):92–99CrossRef
21.
go back to reference Woo SC, Ohara M, Torrie E, Singh JP, Gupta A (1995) The SPLASH-2 programs: characterization and methodological considerations. In: Proceedings of 22nd annual international symposium on computer architecture, 1995, pp 24–36 Woo SC, Ohara M, Torrie E, Singh JP, Gupta A (1995) The SPLASH-2 programs: characterization and methodological considerations. In: Proceedings of 22nd annual international symposium on computer architecture, 1995, pp 24–36
22.
go back to reference Muralimanohar N, Balasubramonian R, Jouppi N (2007) Optimizing NUCA organizations and wiring alternatives for large caches with CACTI 6.0. In: Proceedings of the 40th annual IEEE/ACM international symposium on microarchitecture, 2007, pp 3–14 Muralimanohar N, Balasubramonian R, Jouppi N (2007) Optimizing NUCA organizations and wiring alternatives for large caches with CACTI 6.0. In: Proceedings of the 40th annual IEEE/ACM international symposium on microarchitecture, 2007, pp 3–14
23.
go back to reference Park D, Eachempati S, Das R, Mishra AK, Xie Y, Vijaykrishnan N, Das CR (2008) MIRA: a multi-layered on-chip interconnect router architecture. In: Proceedings of international symposium on computer architecture, 2008, pp 251–261 Park D, Eachempati S, Das R, Mishra AK, Xie Y, Vijaykrishnan N, Das CR (2008) MIRA: a multi-layered on-chip interconnect router architecture. In: Proceedings of international symposium on computer architecture, 2008, pp 251–261
24.
go back to reference Beckmann BM, Wood DA (2004) Managing wire delay in large chip-multiprocessor caches. In: Proceedings of the 37th annual IEEE/ACM international symposium on microarchitecture, 2004, pp 319–330 Beckmann BM, Wood DA (2004) Managing wire delay in large chip-multiprocessor caches. In: Proceedings of the 37th annual IEEE/ACM international symposium on microarchitecture, 2004, pp 319–330
Metadata
Title
In-order delivery approach for 2D and 3D NoCs
Authors
Masoud Daneshtalab
Masoumeh Ebrahimi
Sergei Dytckov
Juha Plosila
Publication date
01-08-2015
Publisher
Springer US
Published in
The Journal of Supercomputing / Issue 8/2015
Print ISSN: 0920-8542
Electronic ISSN: 1573-0484
DOI
https://doi.org/10.1007/s11227-014-1339-y

Other articles of this Issue 8/2015

The Journal of Supercomputing 8/2015 Go to the issue

Premium Partner