Skip to main content
Top
Published in: Arabian Journal for Science and Engineering 2/2022

21-09-2021 | Research Article-Computer Engineering and Computer Science

Packing and Legalization Free Boolean Satisfiability-based Placement Algorithm for Heterogeneous FPGAs

Authors: Shyamapada Mukherjee, Sharbani Purkayastha

Published in: Arabian Journal for Science and Engineering | Issue 2/2022

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Placement of heterogeneous FPGAs has been a complex problem for large designs. In addition, the extensive use of FPGAs in many applications, they have become very popular since last two decades. Hence, robust but simple steps algorithms are must needed for solving different layout problems for heterogeneous FPGAs. Like other design problems, the placement problem of heterogeneous FPGAs has become very hard with the increasing number of the various components and their inter connections. In addition to that routability-aware placement is a must needed solution, since placement without routability awareness may lead to failure of routing. In this paper, a Boolean satisfiability (SAT)-based packing and legalization free placement algorithm called SatPhF has been introduced for Xilinx UltraScale FPGA architectures. The proposed technique reduces the effort given for packing and legalization in the state-of-the-art placement algorithms. Additionally, the proposed approach takes care of congestion to produce an optimized routability-aware placement solutions in terms of wirelength and congestion. This technique performs a novel placement row selection method for a set of cells connected by a net using 2-SAT formulation which is able to optimize wirelength without use of any expensive analytical optimization framework. A new legalized location finding SAT approach has been introduced to find suitable locations for different cells without performing separate legalization step like other state-of-the-art placement algorithms. Finally, a SAT-based placement refinement procedure is introduced to reduce the congestion and making the placement routable. The proposed technique claims that most of the SAT instances created to formulate the placement problem is 2-SAT. The experimental results obtained by the presented approach show its ability to perform placement reasonably well in terms of wirelength and congestion. The results have been compared with four state-of-the-art techniques and show that SatPhF achieves a reasonably well reduction in total wirelength.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Abuowaimer, Z.; Maarouf, D.; Martin, T.; Foxcroft, J.; Gréwal, G.; Areibi, S.; Vannelli, A.: Gplace3.0: Routability-driven analytic placer for ultrascale fpga architectures. ACM Trans. Des. Autom. Electron. Syst. (TODAES) 23(5), 1–33 (2018)CrossRef Abuowaimer, Z.; Maarouf, D.; Martin, T.; Foxcroft, J.; Gréwal, G.; Areibi, S.; Vannelli, A.: Gplace3.0: Routability-driven analytic placer for ultrascale fpga architectures. ACM Trans. Des. Autom. Electron. Syst. (TODAES) 23(5), 1–33 (2018)CrossRef
2.
go back to reference Alawieh, M.B., Li, W., Lin, Y., Singhal, L., Iyer, M., Pan, D.Z.: High-definition routing congestion prediction for large-scale fpgas. ASPDAC (2020) Alawieh, M.B., Li, W., Lin, Y., Singhal, L., Iyer, M., Pan, D.Z.: High-definition routing congestion prediction for large-scale fpgas. ASPDAC (2020)
4.
go back to reference Chen, G.; Pui, C.W.; Chow, W.K.; Lam, K.C.; Kuang, J.; Young, E.F.Y.; Yu, B.: Ripplefpga: Routability-driven simultaneous packing and placement for modern fpgas. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(10), 2022–2035 (2018)CrossRef Chen, G.; Pui, C.W.; Chow, W.K.; Lam, K.C.; Kuang, J.; Young, E.F.Y.; Yu, B.: Ripplefpga: Routability-driven simultaneous packing and placement for modern fpgas. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(10), 2022–2035 (2018)CrossRef
5.
go back to reference Choong, A., Beidas, R., Zhu, J.: Parallelizing simulated annealing-based placement using gpgpu. In: 2010 International Conference on Field Programmable Logic and Applications, pp. 31–34 (2010) Choong, A., Beidas, R., Zhu, J.: Parallelizing simulated annealing-based placement using gpgpu. In: 2010 International Conference on Field Programmable Logic and Applications, pp. 31–34 (2010)
6.
go back to reference Dhar, S., Pan, D.Z.: Gdp: Gpu accelerated detailed placement. In: 2018 IEEE High Performance extreme Computing Conference (HPEC), pp. 1–7. IEEE (2018) Dhar, S., Pan, D.Z.: Gdp: Gpu accelerated detailed placement. In: 2018 IEEE High Performance extreme Computing Conference (HPEC), pp. 1–7. IEEE (2018)
7.
go back to reference Dhar, S., Singhal, L., Iyer, M., Pan, D.: Fpga accelerated fpga placement. In: 2019 29th International Conference on Field Programmable Logic and Applications (FPL), pp. 404–410. IEEE (2019) Dhar, S., Singhal, L., Iyer, M., Pan, D.: Fpga accelerated fpga placement. In: 2019 29th International Conference on Field Programmable Logic and Applications (FPL), pp. 404–410. IEEE (2019)
8.
go back to reference Gréwal, G., Areibi, S., Westrik, M., Abuowaimer, Z., Zhao, B.: Automatic flow selection and quality-of-result estimation for fpga placement. In: 2017 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), pp. 115–123. IEEE (2017) Gréwal, G., Areibi, S., Westrik, M., Abuowaimer, Z., Zhao, B.: Automatic flow selection and quality-of-result estimation for fpga placement. In: 2017 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW), pp. 115–123. IEEE (2017)
9.
go back to reference He, X., Huang, T., Chow, W.K., Kuang, J., Lam, K.C., Cai, W., Young, E.F.: Ripple 2.0: High quality routability-driven placement via global router integration. In: Proceedings of the 50th Annual Design Automation Conference, pp. 1–6 (2013) He, X., Huang, T., Chow, W.K., Kuang, J., Lam, K.C., Cai, W., Young, E.F.: Ripple 2.0: High quality routability-driven placement via global router integration. In: Proceedings of the 50th Annual Design Automation Conference, pp. 1–6 (2013)
10.
go back to reference Kim, M.C.; Lee, D.J.; Markov, I.L.: Simpl: an effective placement algorithm. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(1), 50–60 (2011)CrossRef Kim, M.C.; Lee, D.J.; Markov, I.L.: Simpl: an effective placement algorithm. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(1), 50–60 (2011)CrossRef
11.
go back to reference Kuo, Y.C., Huang, C.C., Chen, S.C., Chiang, C.H., Chang, Y.W., Kuo, S.Y.: Clock-aware placement for large-scale heterogeneous fpgas. In: 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 519–526. IEEE (2017) Kuo, Y.C., Huang, C.C., Chen, S.C., Chiang, C.H., Chang, Y.W., Kuo, S.Y.: Clock-aware placement for large-scale heterogeneous fpgas. In: 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 519–526. IEEE (2017)
12.
go back to reference Li, H., Chow, W.K., Chen, G., Young, E.F., Yu, B.: Routability-driven and fence-aware legalization for mixed-cell-height circuits. In: Proceedings of the 55th Annual Design Automation Conference, pp. 1–6 (2018) Li, H., Chow, W.K., Chen, G., Young, E.F., Yu, B.: Routability-driven and fence-aware legalization for mixed-cell-height circuits. In: Proceedings of the 55th Annual Design Automation Conference, pp. 1–6 (2018)
13.
go back to reference Li, W., Dehkordi, M.E., Yang, S., Pan, D.Z.: Simultaneous placement and clock tree construction for modern fpgas. In: Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, pp. 132–141 (2019) Li, W., Dehkordi, M.E., Yang, S., Pan, D.Z.: Simultaneous placement and clock tree construction for modern fpgas. In: Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, pp. 132–141 (2019)
14.
go back to reference Li, W.; Dhar, S.; Pan, D.Z.: Utplacef: A routability-driven fpga placer with physical and congestion aware packing. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(4), 869–882 (2018)CrossRef Li, W.; Dhar, S.; Pan, D.Z.: Utplacef: A routability-driven fpga placer with physical and congestion aware packing. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(4), 869–882 (2018)CrossRef
15.
go back to reference Li, W., Li, M., Wang, J., Pan, D.Z.: Utplacef 3.0: A parallelization framework for modern fpga global placement: (invited paper). In: 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 922–928 (2017) Li, W., Li, M., Wang, J., Pan, D.Z.: Utplacef 3.0: A parallelization framework for modern fpga global placement: (invited paper). In: 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 922–928 (2017)
16.
go back to reference Li, W., Lin, Y., Li, M., Dhar, S., Pan, D.Z.: Utplacef 2.0: A high-performance clock-aware fpga placement engine. ACM Transactions on Design Automation of Electronic Systems (TODAES) 23(4), 1–23 (2018) Li, W., Lin, Y., Li, M., Dhar, S., Pan, D.Z.: Utplacef 2.0: A high-performance clock-aware fpga placement engine. ACM Transactions on Design Automation of Electronic Systems (TODAES) 23(4), 1–23 (2018)
17.
go back to reference Li, W., Lin, Y., Pan, D.Z.: elfplace: Electrostatics-based placement for large-scale heterogeneous fpgas. In: 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1–8 (2019) Li, W., Lin, Y., Pan, D.Z.: elfplace: Electrostatics-based placement for large-scale heterogeneous fpgas. In: 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1–8 (2019)
18.
go back to reference Lin, T., Chu, C.: Polar 2.0: An effective routability-driven placer. In: Proceedings of the 51st Annual Design Automation Conference, pp. 1–6 (2014) Lin, T., Chu, C.: Polar 2.0: An effective routability-driven placer. In: Proceedings of the 51st Annual Design Automation Conference, pp. 1–6 (2014)
19.
go back to reference Maarouf, D., Alhyari, A., Abuowaimer, Z., Martin, T., Gunter, A., Grewal, G., Areibi, S., Vannelli, A.: Machine-learning based congestion estimation for modern fpgas. In: 2018 28th International Conference on Field Programmable Logic and Applications (FPL), pp. 427–4277. IEEE (2018) Maarouf, D., Alhyari, A., Abuowaimer, Z., Martin, T., Gunter, A., Grewal, G., Areibi, S., Vannelli, A.: Machine-learning based congestion estimation for modern fpgas. In: 2018 28th International Conference on Field Programmable Logic and Applications (FPL), pp. 427–4277. IEEE (2018)
20.
go back to reference Mao, F., Zhang, W., He, B., Lam, S.K.: Dynamic module partitioning for library based placement on heterogeneous fpgas. In: 2017 IEEE 23rd International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), pp. 1–6. IEEE (2017) Mao, F., Zhang, W., He, B., Lam, S.K.: Dynamic module partitioning for library based placement on heterogeneous fpgas. In: 2017 IEEE 23rd International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), pp. 1–6. IEEE (2017)
21.
go back to reference Mukherjee, S., Roy, S.: Sat based multi pin net detailed routing for fpga. In: 2010 International Symposium on Electronic System Design, pp. 141–146 (2010) Mukherjee, S., Roy, S.: Sat based multi pin net detailed routing for fpga. In: 2010 International Symposium on Electronic System Design, pp. 141–146 (2010)
22.
go back to reference Mukherjee, S., Roy, S.: Multi terminal net routing for island style fpgas using nearly-2-sat computation. In: 2015 19th International Symposium on VLSI Design and Test, pp. 1–6 (2015) Mukherjee, S., Roy, S.: Multi terminal net routing for island style fpgas using nearly-2-sat computation. In: 2015 19th International Symposium on VLSI Design and Test, pp. 1–6 (2015)
23.
go back to reference Mukherjee, S.; Roy, S.: Nearly-2-sat solutions for segmented-channel routing. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(1), 128–140 (2016)CrossRef Mukherjee, S.; Roy, S.: Nearly-2-sat solutions for segmented-channel routing. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(1), 128–140 (2016)CrossRef
24.
go back to reference Nam, G.J.; Aloul, F.; Sakallah, K.; Rutenbar, R.: A comparative study of two boolean formulations of fpga detailed routing constraints. IEEE Trans. Comput. 53(6), 688–696 (2004)CrossRef Nam, G.J.; Aloul, F.; Sakallah, K.; Rutenbar, R.: A comparative study of two boolean formulations of fpga detailed routing constraints. IEEE Trans. Comput. 53(6), 688–696 (2004)CrossRef
25.
go back to reference Nam, G.J.; Sakallah, K.; Rutenbar, R.: A new fpga detailed routing approach via search-based boolean satisfiability. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21(6), 674–684 (2002)CrossRef Nam, G.J.; Sakallah, K.; Rutenbar, R.: A new fpga detailed routing approach via search-based boolean satisfiability. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21(6), 674–684 (2002)CrossRef
26.
go back to reference Nam, G.J., Sakallah, K.A., Rutenbar, R.A.: Satisfiability-based layout revisited: Detailed routing of complex fpgas via search-based boolean sat. In: Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays, FPGA ’99, p. 167-175. Association for Computing Machinery, New York, NY, USA (1999) Nam, G.J., Sakallah, K.A., Rutenbar, R.A.: Satisfiability-based layout revisited: Detailed routing of complex fpgas via search-based boolean sat. In: Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays, FPGA ’99, p. 167-175. Association for Computing Machinery, New York, NY, USA (1999)
27.
go back to reference Pattison, R., Abuowaimer, Z., Areibi, S., Gréwal, G., Vannelli, A.: Gplace: A congestion-aware placement tool for ultrascale fpgas. In: 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1–7. IEEE (2016) Pattison, R., Abuowaimer, Z., Areibi, S., Gréwal, G., Vannelli, A.: Gplace: A congestion-aware placement tool for ultrascale fpgas. In: 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1–7. IEEE (2016)
28.
go back to reference Pui, C.W., Chen, G., Ma, Y., Young, E.F., Yu, B.: Clock-aware ultrascale fpga placement with machine learning routability prediction. In: 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 929–936. IEEE (2017) Pui, C.W., Chen, G., Ma, Y., Young, E.F., Yu, B.: Clock-aware ultrascale fpga placement with machine learning routability prediction. In: 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 929–936. IEEE (2017)
29.
go back to reference Vercruyce, D., Vansteenkiste, E., Stroobandt, D.: Liquid: High quality scalable placement for large heterogeneous fpgas. In: 2017 International Conference on Field Programmable Technology (ICFPT), pp. 17–24. IEEE (2017) Vercruyce, D., Vansteenkiste, E., Stroobandt, D.: Liquid: High quality scalable placement for large heterogeneous fpgas. In: 2017 International Conference on Field Programmable Technology (ICFPT), pp. 17–24. IEEE (2017)
30.
go back to reference Vercruyce, D., Vansteenkiste, E., Stroobandt, D.: Hierarchical force-based block spreading for analytical fpga placement. In: 2018 28th International Conference on Field Programmable Logic and Applications (FPL), pp. 26–263. IEEE (2018) Vercruyce, D., Vansteenkiste, E., Stroobandt, D.: Hierarchical force-based block spreading for analytical fpga placement. In: 2018 28th International Conference on Field Programmable Logic and Applications (FPL), pp. 26–263. IEEE (2018)
32.
go back to reference Yang, S., Gayasen, A., Mulpuri, C., Reddy, S., Aggarwal, R.: Routability-driven fpga placement contest. In: Proceedings of the 2016 on International Symposium on Physical Design, ISPD’16, p. 139-143. Association for Computing Machinery, New York, NY, USA (2016) Yang, S., Gayasen, A., Mulpuri, C., Reddy, S., Aggarwal, R.: Routability-driven fpga placement contest. In: Proceedings of the 2016 on International Symposium on Physical Design, ISPD’16, p. 139-143. Association for Computing Machinery, New York, NY, USA (2016)
33.
go back to reference Yuan, J.; Chen, J.; Wang, L.; Zhou, X.; Xia, Y.; Hu, J.: Arbsa: Adaptive range-based simulated annealing for fpga placement. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 38(12), 2330–2342 (2018)CrossRef Yuan, J.; Chen, J.; Wang, L.; Zhou, X.; Xia, Y.; Hu, J.: Arbsa: Adaptive range-based simulated annealing for fpga placement. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 38(12), 2330–2342 (2018)CrossRef
Metadata
Title
Packing and Legalization Free Boolean Satisfiability-based Placement Algorithm for Heterogeneous FPGAs
Authors
Shyamapada Mukherjee
Sharbani Purkayastha
Publication date
21-09-2021
Publisher
Springer Berlin Heidelberg
Published in
Arabian Journal for Science and Engineering / Issue 2/2022
Print ISSN: 2193-567X
Electronic ISSN: 2191-4281
DOI
https://doi.org/10.1007/s13369-021-06176-4

Other articles of this Issue 2/2022

Arabian Journal for Science and Engineering 2/2022 Go to the issue

Research Article-Computer Engineering and Computer Science

Load Balancing in DCN Servers through SDN Machine Learning Algorithm

Research Article-Computer Engineering and Computer Science

A New Ensemble-Based Intrusion Detection System for Internet of Things

Research Article-Computer Engineering and Computer Science

Hand Gesture Recognition from 2D Images by Using Convolutional Capsule Neural Networks

Research Article-Computer Engineering and Computer Science

Credit Card Fraud Detection by Modelling Behaviour Pattern using Hybrid Ensemble Model

Premium Partners