Skip to main content
Top

2011 | OriginalPaper | Chapter

3. Predictive Technology Model of Enhanced CMOS Devices

Author : Yu Cao

Published in: Predictive Technology Model for Robust Nanoelectronic Design

Publisher: Springer US

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

The scaling of traditional bulk CMOS structure has slowed down in recent years as fundamental physical and process limits are rapidly approached. For instance, short-channel effects, such as drain-induced-barrier-lowering (DIBL) and threshold voltage (Vth) rolloff, severely increase leakage current and degrade the Ion/Ioff ratio (Fig. 2.11) [1]. To overcome these difficulties and continue the path projected by Moore’s law, new materials need to be incorporated into the bulk CMOS structure, including high-permittivity (high-k) gate dielectrics, metal gate electrodes, low-resistance source/drain, and strained Si channel for high mobility [2, 3]. Furthermore, more flexible process choices, such as multiple-Vth, are required in today’s integrated circuit design, in order to satisfy various design needs (e.g., low power vs. high performance). These technology evolutions should be incorporated into PTM to facilitate contemporary design exploration.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference W. Zhao, Y. Cao, “New generation of predictive technology model for sub-45 nm early design exploration,” IEEE Transactions on Electron Devices, vol. 53, no. 11, pp. 2816–2823, Nov. 2006. (Available at http://ptm.asu.edu).CrossRef W. Zhao, Y. Cao, “New generation of predictive technology model for sub-45 nm early design exploration,” IEEE Transactions on Electron Devices, vol. 53, no. 11, pp. 2816–2823, Nov. 2006. (Available at http://​ptm.​asu.​edu).CrossRef
2.
go back to reference L. Chang, et al., “Extremely scaled silicon nano-CMOS devices,” Proceedings of the IEEE, vol. 91, no. 11, pp. 1860–1873, Nov. 2003.CrossRef L. Chang, et al., “Extremely scaled silicon nano-CMOS devices,” Proceedings of the IEEE, vol. 91, no. 11, pp. 1860–1873, Nov. 2003.CrossRef
3.
go back to reference N. Mohta and S. E. Thompson, “Mobility enhancement: The next vector to extend Moore’s law,” IEEE Circuits and Devices Magazine, vol. 21, no. 5, pp. 18–23, Sept./Oct., 2005.CrossRef N. Mohta and S. E. Thompson, “Mobility enhancement: The next vector to extend Moore’s law,” IEEE Circuits and Devices Magazine, vol. 21, no. 5, pp. 18–23, Sept./Oct., 2005.CrossRef
4.
go back to reference X. Huang, W.C. Lee, C. Kuo, D. Hisamoto, L. Chang, J. Kedzierski., “Sub-50 nm FinFET: PFET,” in Proc. IEEE International Electron Devices Meeting, pp. 679–682, Dec. 2003. X. Huang, W.C. Lee, C. Kuo, D. Hisamoto, L. Chang, J. Kedzierski., “Sub-50 nm FinFET: PFET,” in Proc. IEEE International Electron Devices Meeting, pp. 679–682, Dec. 2003.
5.
go back to reference C.-C. Wang, W. Zhao, F. Liu, M. Chen, Y. Cao, “Predictive modeling of layout-dependent stress effect in scaled CMOS design,” International Conference on Computer Aided Design, pp. 513–520, 2009. C.-C. Wang, W. Zhao, F. Liu, M. Chen, Y. Cao, “Predictive modeling of layout-dependent stress effect in scaled CMOS design,” International Conference on Computer Aided Design, pp. 513–520, 2009.
6.
go back to reference The International Technology Roadmap for Semiconductors (ITRS), 2008. The International Technology Roadmap for Semiconductors (ITRS), 2008.
7.
go back to reference A. Khakifirooz and D. Antoniadis, “Transistor performance scaling: The role of virtual source velocity and its mobility dependence,” in Proc. IEEE International Electron Devices Meeting, pp. 667–670, Dec. 2006. A. Khakifirooz and D. Antoniadis, “Transistor performance scaling: The role of virtual source velocity and its mobility dependence,” in Proc. IEEE International Electron Devices Meeting, pp. 667–670, Dec. 2006.
8.
go back to reference J.-S. Lim, S. E. Thompson, and J. G. Fossum, “Comparison of threshold-voltage shifts for uniaxial and biaxial tensile-stressed n-MOSFETs,” TED, vol. 25, no. 11, pp. 731–733, 2004. J.-S. Lim, S. E. Thompson, and J. G. Fossum, “Comparison of threshold-voltage shifts for uniaxial and biaxial tensile-stressed n-MOSFETs,” TED, vol. 25, no. 11, pp. 731–733, 2004.
9.
go back to reference H. Nii, et al., “A 45 nm High Performance Bulk Logic Platform Technology (CMOS6) using Ultra High NA(1.07) Immersion Lithography with Hybrid Dual-Damascene Structure and Porous Low-k BEOL,” in IEDM, pp. 685–688, 2006. H. Nii, et al., “A 45 nm High Performance Bulk Logic Platform Technology (CMOS6) using Ultra High NA(1.07) Immersion Lithography with Hybrid Dual-Damascene Structure and Porous Low-k BEOL,” in IEDM, pp. 685–688, 2006.
10.
go back to reference H. Aikawa, et al., “Variability aware modeling and characterization in standard cell in 45 nm CMOS with stress enhancement technique,” VLSI Symp., 2008. H. Aikawa, et al., “Variability aware modeling and characterization in standard cell in 45 nm CMOS with stress enhancement technique,” VLSI Symp., 2008.
11.
go back to reference G. Eneman, et al., “Scalability of the Si1-xGex Source/Drain technology for the 45-nm technology node and beyond,” TED, vol. 53, no. 7, Jul. 2006. G. Eneman, et al., “Scalability of the Si1-xGex Source/Drain technology for the 45-nm technology node and beyond,” TED, vol. 53, no. 7, Jul. 2006.
12.
go back to reference M. V. Dunga, C.H. Lin, X. Xi, D.D. Lu, A.M. Niknejad, and C. Hu, “Modeling advanced FET technology in a compact model,” IEEE Transactions on Electron Devices, vol. 53, no. 9, pp. 1971–1978, Sept. 2006.CrossRef M. V. Dunga, C.H. Lin, X. Xi, D.D. Lu, A.M. Niknejad, and C. Hu, “Modeling advanced FET technology in a compact model,” IEEE Transactions on Electron Devices, vol. 53, no. 9, pp. 1971–1978, Sept. 2006.CrossRef
13.
go back to reference Taurus Medici, Manual, June 2006. Version Y-2006.06. Taurus Medici, Manual, June 2006. Version Y-2006.06.
14.
go back to reference Taurus Tsuprem4, Manual, Oct. 2005. Version X-2005.10. Taurus Tsuprem4, Manual, Oct. 2005. Version X-2005.10.
15.
go back to reference G. Scott, et al., “NMOS drive current reduction caused by transistor layout and trench isolation induced stress,” in IEDM Tech. Dig., pp. 827–830, 1999. G. Scott, et al., “NMOS drive current reduction caused by transistor layout and trench isolation induced stress,” in IEDM Tech. Dig., pp. 827–830, 1999.
16.
go back to reference R. A. Bianchi, et al., “Accurate modeling of trench isolation induced mechanical stress effects on MOSFET electrical performance,” IEDM, pp. 117–120, 2002. R. A. Bianchi, et al., “Accurate modeling of trench isolation induced mechanical stress effects on MOSFET electrical performance,” IEDM, pp. 117–120, 2002.
17.
go back to reference K.-W. Su, et al., “A scaleable model for STI mechanical stress effect on layout dependence of MOS electrical characteristics,” CICC, pp. 245–248, 2003. K.-W. Su, et al., “A scaleable model for STI mechanical stress effect on layout dependence of MOS electrical characteristics,” CICC, pp. 245–248, 2003.
18.
go back to reference X.-W. Lin, “Modeling of Proximity Effects in Nanometer MOSFET’s,” IEEE/ACM Workshop on Compact Variability Modeling 2008. X.-W. Lin, “Modeling of Proximity Effects in Nanometer MOSFET’s,” IEEE/ACM Workshop on Compact Variability Modeling 2008.
19.
go back to reference C. E. Murray, “Mechanics of edge effects in anisotropic thin film/substrate systems,” Journal of Applied Physics, vol. 100, 103532, 2006.CrossRef C. E. Murray, “Mechanics of edge effects in anisotropic thin film/substrate systems,” Journal of Applied Physics, vol. 100, 103532, 2006.CrossRef
20.
go back to reference J. L. Egley, “Strain effects on device characteristics: implementation in drift-diffusion simulators,” Solid-State Electronics, vol. 36, no. 12, pp. 1653–1664, 1993.CrossRef J. L. Egley, “Strain effects on device characteristics: implementation in drift-diffusion simulators,” Solid-State Electronics, vol. 36, no. 12, pp. 1653–1664, 1993.CrossRef
21.
go back to reference C. Herring, E. Vogt., “Transport and deformation-potential theory for many-valley semiconductors with anisotropic scattering,” Phys. Rev., vol. 101, pp. 994–961, 1956.CrossRef C. Herring, E. Vogt., “Transport and deformation-potential theory for many-valley semiconductors with anisotropic scattering,” Phys. Rev., vol. 101, pp. 994–961, 1956.CrossRef
22.
go back to reference F. Payet, F. Buf, C. Ortolland, T. Skotnicki, “Nonuniform mobility-enhancement techniques and their impact on device performance,” TED, vol. 55, no. 4, pp. 1050–1057, April 2008.CrossRef F. Payet, F. Buf, C. Ortolland, T. Skotnicki, “Nonuniform mobility-enhancement techniques and their impact on device performance,” TED, vol. 55, no. 4, pp. 1050–1057, April 2008.CrossRef
23.
go back to reference D. Sinitsky, “Physics of future very large-sclae integration (VLSI) MOSFETs,” Ph.D. dissertation, Univ. California, Berkeley, CA, 1997. D. Sinitsky, “Physics of future very large-sclae integration (VLSI) MOSFETs,” Ph.D. dissertation, Univ. California, Berkeley, CA, 1997.
24.
go back to reference BSIM4 Manual, University of California Device Group. BSIM4 Manual, University of California Device Group.
25.
go back to reference W. Zhang, J. G. Fossum, “On the threshold voltage of strained-Si-Si1-xGex MOSFETs,” TED, vol. 52, no. 2, pp. 263–268, February 2005.CrossRefMATH W. Zhang, J. G. Fossum, “On the threshold voltage of strained-Si-Si1-xGex MOSFETs,” TED, vol. 52, no. 2, pp. 263–268, February 2005.CrossRefMATH
26.
go back to reference K. Mistry, et al., “A 45 nm logic technology with high-k + metal gate transistors, strained Silicon, 9 Cu interconnect layers, 193 nm dry patterning, and 100% Pb-free packaging,” IEDM, pp. 247–250, 2007. K. Mistry, et al., “A 45 nm logic technology with high-k + metal gate transistors, strained Silicon, 9 Cu interconnect layers, 193 nm dry patterning, and 100% Pb-free packaging,” IEDM, pp. 247–250, 2007.
27.
go back to reference C. Leroux, et al., “Characterization and modeling of hysteresis phenomena in high-k dielectrics,” in Proc. IEEE International Electron Devices Meeting, pp. 737–740, Dec. 2004. C. Leroux, et al., “Characterization and modeling of hysteresis phenomena in high-k dielectrics,” in Proc. IEEE International Electron Devices Meeting, pp. 737–740, Dec. 2004.
28.
go back to reference A. E. Islam, et al., “Gate leakage vs. NBTI in plasma nitrided oxides: Characterization, physical principles, and optimization,” in Proc. IEEE International Electron Devices Meeting, pp. 329–332, Dec. 2006. A. E. Islam, et al., “Gate leakage vs. NBTI in plasma nitrided oxides: Characterization, physical principles, and optimization,” in Proc. IEEE International Electron Devices Meeting, pp. 329–332, Dec. 2006.
29.
go back to reference S. Bhardwaj, W. Wang, R. Vattikonda, Y. Cao, S. Vrudhula, “Predictive modeling of the NBTI effect for reliable design,” in Proc. IEEE Custom Integrated Circuits Conference, pp. 189–192, Sept. 2006. S. Bhardwaj, W. Wang, R. Vattikonda, Y. Cao, S. Vrudhula, “Predictive modeling of the NBTI effect for reliable design,” in Proc. IEEE Custom Integrated Circuits Conference, pp. 189–192, Sept. 2006.
30.
go back to reference W. Zhao, X. Li, M. Nowak, and Y. Cao, “Predictive technology modeling for 32 nm low power design,” International Semiconductor Device Research Symposium, TA4-03, 2007. W. Zhao, X. Li, M. Nowak, and Y. Cao, “Predictive technology modeling for 32 nm low power design,” International Semiconductor Device Research Symposium, TA4-03, 2007.
31.
go back to reference W. Zhao and Y. Cao, “Predictive technology model for nano-CMOS design exploration,” ACM Journal on Emerging Technologies in Computing Systems, vol. 3, no. 1, pp. 1–17, April 2007.MATHCrossRef W. Zhao and Y. Cao, “Predictive technology model for nano-CMOS design exploration,” ACM Journal on Emerging Technologies in Computing Systems, vol. 3, no. 1, pp. 1–17, April 2007.MATHCrossRef
32.
go back to reference J. Kedzierski, et al., “High-performance symmetric-gate and CMOS compatible Vt symmetric-gate FinFET devices,” IEDM, pp. 497–444, 2001. J. Kedzierski, et al., “High-performance symmetric-gate and CMOS compatible Vt symmetric-gate FinFET devices,” IEDM, pp. 497–444, 2001.
33.
go back to reference J. G. Fossum, M.M. Chowdhury, V.P. Trivedi, T.-J. King, Y.-K. Choi, J. An, B. Yu, “Physical insights on design and modeling of nanoscale FinFETs,” in Proc. IEEE International Electron Devices Meeting, pp. 679–682, Dec. 2003. J. G. Fossum, M.M. Chowdhury, V.P. Trivedi, T.-J. King, Y.-K. Choi, J. An, B. Yu, “Physical insights on design and modeling of nanoscale FinFETs,” in Proc. IEEE International Electron Devices Meeting, pp. 679–682, Dec. 2003.
34.
go back to reference B. Iniguez, T. A. Fjeldly, A. Lazaro, F. Danneville, and M. J. Deen, “Compact-modeling solutions for nanoscale double-gate and gate-all-around MOSFETs,” IEEE Transactions on Electron Devices, vol. 53, no. 9, pp. 2128–2142, Sept. 2006.CrossRef B. Iniguez, T. A. Fjeldly, A. Lazaro, F. Danneville, and M. J. Deen, “Compact-modeling solutions for nanoscale double-gate and gate-all-around MOSFETs,” IEEE Transactions on Electron Devices, vol. 53, no. 9, pp. 2128–2142, Sept. 2006.CrossRef
35.
go back to reference G. Gildenblat, et al., “PSP: An advanced surface-potential-based MOSFET model for circuit simulation,” IEEE Transactions on Electron Devices, vol. 53, no. 9, pp. 1979–1993, Sept. 2006.CrossRef G. Gildenblat, et al., “PSP: An advanced surface-potential-based MOSFET model for circuit simulation,” IEEE Transactions on Electron Devices, vol. 53, no. 9, pp. 1979–1993, Sept. 2006.CrossRef
36.
go back to reference H. Wan, X. Xi, A. M. Niknejad, C. Hu, BSIM SOI Manual. The Device Group, University of California, Berkeley, CA, 2003. H. Wan, X. Xi, A. M. Niknejad, C. Hu, BSIM SOI Manual. The Device Group, University of California, Berkeley, CA, 2003.
37.
go back to reference F.-L. Yang, et al., “35 nm CMOS FinFETs,” Symposium on VLSI Technology, pp. 104–105, 2002. F.-L. Yang, et al., “35 nm CMOS FinFETs,” Symposium on VLSI Technology, pp. 104–105, 2002.
38.
go back to reference C.-H. Lin, et al., “Compact modeling of FinFETs featuring in independent-gate operation mode,” in Proc. IEEE International Symposium on VLSI Technology, Systems, and Applications, pp. 120–121, 2005. C.-H. Lin, et al., “Compact modeling of FinFETs featuring in independent-gate operation mode,” in Proc. IEEE International Symposium on VLSI Technology, Systems, and Applications, pp. 120–121, 2005.
Metadata
Title
Predictive Technology Model of Enhanced CMOS Devices
Author
Yu Cao
Copyright Year
2011
Publisher
Springer US
DOI
https://doi.org/10.1007/978-1-4614-0445-3_3