Skip to main content
Top

2018 | OriginalPaper | Chapter

5. Redundant Via Insertion for DSAL

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

In DSAL, vias that are physically close are clustered and patterned together through a guide pattern (GP) [1, 2]. A large and complex GP is not allowed to form because it is likely to cause a pattern failure on a wafer. This chapter addresses redundant via insertion problem for DSAL. The goal is to maximally insert redundant vias while vias (both original and redundant) are clustered to form only desirable GPs. The problem can be formulated as finding maximum independent set (MIS) of a conflict graph. Experiments demonstrate that 13% more redundant vias are inserted compared to simple-minded approach, in which a basic insertion with no consideration of DSAL is followed by removal of redundant vias that cause undesirable GPs. DSA defect probability of via cluster is addressed in order to quantitatively define which GPs are allowed during the redundant via insertion process.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Footnotes
1
Once redundant via is inserted, metal wires of the same net are locally modified so that the redundant via can be connected. For example, if \(R_1\) is selected, metal 1 and 2 are extended so that both \(O_1\) and \(R_1\) are connected.
 
2
Remember that defect probability calculation is somewhat conservative, so clusters with very low defect probability may not actually cause any defects. Precise decision of which probability should be accepted is up to manufacturing details.
 
Literature
1.
go back to reference W. Wang, L. Azat, Y. Zou, T. Coskun, A full-chip DSA correction framework, in Proceedings of the SPIE Advanced Lithography (2014), pp. 1–11 W. Wang, L. Azat, Y. Zou, T. Coskun, A full-chip DSA correction framework, in Proceedings of the SPIE Advanced Lithography (2014), pp. 1–11
2.
go back to reference L. Azat, G. Garner, M. Preil, G. Schmid, W. Wang, J. Xu, Y. Zou, Computational simulations and parametric studies for directed self-assembly process development and solution of the inverse directed self-assembly problem. Jpn. J. Appl. Phys. 53(6), 06JC01–8 (2014) L. Azat, G. Garner, M. Preil, G. Schmid, W. Wang, J. Xu, Y. Zou, Computational simulations and parametric studies for directed self-assembly process development and solution of the inverse directed self-assembly problem. Jpn. J. Appl. Phys. 53(6), 06JC01–8 (2014)
3.
go back to reference J. Gyvez, Yield modeling and BEOL fundamentals, in Proceedings of the International Workshop on System-Level Interconnect Prediction (2001), pp. 135–163 J. Gyvez, Yield modeling and BEOL fundamentals, in Proceedings of the International Workshop on System-Level Interconnect Prediction (2001), pp. 135–163
4.
go back to reference K. Lee, T. Wang, Post-routing redundant via insertion for yield/reliability improvement, in Proceedings of the Asia South Pacific Design Automation Conference (2006), pp. 303–308 K. Lee, T. Wang, Post-routing redundant via insertion for yield/reliability improvement, in Proceedings of the Asia South Pacific Design Automation Conference (2006), pp. 303–308
5.
go back to reference C. Pan, Y. Lee, Redundant via insertion under timing constraints, in Proceedings of the International Symposium on Quality Electronic Design (2011), pp. 1–7 C. Pan, Y. Lee, Redundant via insertion under timing constraints, in Proceedings of the International Symposium on Quality Electronic Design (2011), pp. 1–7
6.
go back to reference J.-T. Yan, Z.-W. Chen, B.-Y. Chiang, Y.-M. Lee, Timing-constrained yield-driven redundant via insertion, in Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems (2008), pp. 1688–1691 J.-T. Yan, Z.-W. Chen, B.-Y. Chiang, Y.-M. Lee, Timing-constrained yield-driven redundant via insertion, in Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems (2008), pp. 1688–1691
7.
go back to reference S. Fang, Y. Hong, Y. Lu, Simultaneous guiding template optimization and redundant via insertion for directed self-assembly, in Proceedings of the International Conference on Computer-Aided Design (2015), pp. 410–417 S. Fang, Y. Hong, Y. Lu, Simultaneous guiding template optimization and redundant via insertion for directed self-assembly, in Proceedings of the International Conference on Computer-Aided Design (2015), pp. 410–417
8.
go back to reference W. Chung, S. Shim, Y. Shin, Redundant via insertion in directed self-assembly lithography, in Proceeding of the Design, Automation and Test in Europe Conference and Exhibition (2016), pp. 55–60 W. Chung, S. Shim, Y. Shin, Redundant via insertion in directed self-assembly lithography, in Proceeding of the Design, Automation and Test in Europe Conference and Exhibition (2016), pp. 55–60
9.
go back to reference J. Pak, Y. Bei, D.Z. Pan, Electromigration-aware redundant via insertion, in Proceedings of the Asia South Pacific Design Automation Conference (2015), pp. 544–549 J. Pak, Y. Bei, D.Z. Pan, Electromigration-aware redundant via insertion, in Proceedings of the Asia South Pacific Design Automation Conference (2015), pp. 544–549
12.
go back to reference M. Smayling, V. Axelrad, 32 nm and below logic patterning using optimized illumination and double patterning, in Proceedings of the SPIE Advanced Lithography (2009), pp. 1–10 M. Smayling, V. Axelrad, 32 nm and below logic patterning using optimized illumination and double patterning, in Proceedings of the SPIE Advanced Lithography (2009), pp. 1–10
13.
go back to reference H. Yi, X. Bao, R. Tiberio, P. Wong, Design strategy of small topographical guiding templates for sub-15 nm integrated circuits contact hole patterns using block copolymer directed self-assembly, in Proceedings of the SPIE Advanced Lithography (2013), pp. 1–9 H. Yi, X. Bao, R. Tiberio, P. Wong, Design strategy of small topographical guiding templates for sub-15 nm integrated circuits contact hole patterns using block copolymer directed self-assembly, in Proceedings of the SPIE Advanced Lithography (2013), pp. 1–9
Metadata
Title
Redundant Via Insertion for DSAL
Authors
Seongbo Shim
Youngsoo Shin
Copyright Year
2018
DOI
https://doi.org/10.1007/978-3-319-76294-4_5

Premium Partners