Skip to main content
Top

2018 | OriginalPaper | Chapter

4. Post-Placement Optimization for MP-DSAL Compliant Layout

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

Sub 7-nm technology node requires small contacts whose size and pitch are beyond optical resolution limit. Such fine features can be created by directed self-assembly lithography with multiple patterning (MP-DSAL). In MP-DSAL, layout decomposition is a key problem, in which contacts that are physically close are clustered to form a GP which is then assigned to one of masks. Many practical contact layouts are not MP-DSAL compliant in a sense that layout decomposition is not perfectly performed leaving a few MP coloring conflicts and GPs of non-zero defect probability. This chapter introduces placement optimization to make a layout MP-DSAL compliant. The optimization problem is formulated as ILP, and a practical heuristic is also presented.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference H. Yi, X. Bao, R. Tiberio, P. Wong, Design strategy of small topographical guiding templates for sub-15nm integrated circuits contact hole patterns using block copolymer directed self-assembly, in Proceedings of the SPIE Advanced Lithography (2013), pp. 1–9 H. Yi, X. Bao, R. Tiberio, P. Wong, Design strategy of small topographical guiding templates for sub-15nm integrated circuits contact hole patterns using block copolymer directed self-assembly, in Proceedings of the SPIE Advanced Lithography (2013), pp. 1–9
2.
go back to reference L. Azat, G. Garner, M. Preil, G. Schmid, W. Wang, J. Xu, Y. Zou, Computational simulations and parametric studies for directed self-assembly process development and solution of the inverse directed self-assembly problem. Jpn. J. Appl. Phys. 53(6), 06JC01–8 (2014) L. Azat, G. Garner, M. Preil, G. Schmid, W. Wang, J. Xu, Y. Zou, Computational simulations and parametric studies for directed self-assembly process development and solution of the inverse directed self-assembly problem. Jpn. J. Appl. Phys. 53(6), 06JC01–8 (2014)
3.
go back to reference S. Shim, W. Chung, Y. Shin, Defect probability of directed self-assembly lithography: fast identification and post-placement optimization, in Proceedings of the International Conference on Computer Aided Design (2015), pp. 404–409 S. Shim, W. Chung, Y. Shin, Defect probability of directed self-assembly lithography: fast identification and post-placement optimization, in Proceedings of the International Conference on Computer Aided Design (2015), pp. 404–409
4.
go back to reference W. Wang, L. Azat, Y. Zou, T. Coskun, A full-chip DSA correction framework, in Proceedings of the SPIE Advanced Lithography (2014), pp. 1–11 W. Wang, L. Azat, Y. Zou, T. Coskun, A full-chip DSA correction framework, in Proceedings of the SPIE Advanced Lithography (2014), pp. 1–11
5.
go back to reference W. Wang, L. Azat, Y. Zou, T. Coskun, A full-chip DSA correction framework, in Proceedings of the SPIE Advanced Lithography (2014), pp. 1–11 W. Wang, L. Azat, Y. Zou, T. Coskun, A full-chip DSA correction framework, in Proceedings of the SPIE Advanced Lithography (2014), pp. 1–11
6.
go back to reference Y. Badr, A. Torres, Y. Ma, J. Mitra, P. Gupta, Incorporating DSA in multipatterning semiconductor manufacturing technologies, in Proceedings SPIE Advanced Lithography (2015), pp. 1–8 Y. Badr, A. Torres, Y. Ma, J. Mitra, P. Gupta, Incorporating DSA in multipatterning semiconductor manufacturing technologies, in Proceedings SPIE Advanced Lithography (2015), pp. 1–8
7.
go back to reference Z. Xiao, C. Lin, M. Wong, H. Zhang, Contact layer decomposition to enable DSA with multiple-patterning technique for standard cell based layout, in Proceedings of the Asia South Pacific Design Automation Conference (2016), pp. 95–102 Z. Xiao, C. Lin, M. Wong, H. Zhang, Contact layer decomposition to enable DSA with multiple-patterning technique for standard cell based layout, in Proceedings of the Asia South Pacific Design Automation Conference (2016), pp. 95–102
9.
go back to reference L. Liebmann, S. Mansfield, G. Han, J. Culp, J. Hibbeler, R. Tsai, Reducing DfM to practice: the lithography manufacturability assessor, in Proceedings of the SPIE Advanced Lithography (2006), pp. 786–798 L. Liebmann, S. Mansfield, G. Han, J. Culp, J. Hibbeler, R. Tsai, Reducing DfM to practice: the lithography manufacturability assessor, in Proceedings of the SPIE Advanced Lithography (2006), pp. 786–798
10.
go back to reference H.D. Ceniceros, G.H. Fredrickson, Numerical solution of polymer self-consistent field theory. Multiscale Model. Simul. 2(3), 452–474 (2004)CrossRef H.D. Ceniceros, G.H. Fredrickson, Numerical solution of polymer self-consistent field theory. Multiscale Model. Simul. 2(3), 452–474 (2004)CrossRef
11.
go back to reference N. Laachi, K.T. Delaney, B. Kim, S. Hur, R. Bristol, D. Shykind, C.J. Weinheimer, G.H. Fredrickson, Self-consistent field theory investigation of directed self-assembly in cylindrical confinement. J. Polym. Sci. Part B Polym. Phys. 53(2), 142–153 (2015)CrossRef N. Laachi, K.T. Delaney, B. Kim, S. Hur, R. Bristol, D. Shykind, C.J. Weinheimer, G.H. Fredrickson, Self-consistent field theory investigation of directed self-assembly in cylindrical confinement. J. Polym. Sci. Part B Polym. Phys. 53(2), 142–153 (2015)CrossRef
14.
15.
go back to reference S. Shim, W. Chung, Y. Shin, Placement optimization for MP-DSAL compliant layout, in Proceedings of the International Conference on IC Design and Technology (ICICDT) (2016), pp. 1–4 S. Shim, W. Chung, Y. Shin, Placement optimization for MP-DSAL compliant layout, in Proceedings of the International Conference on IC Design and Technology (ICICDT) (2016), pp. 1–4
Metadata
Title
Post-Placement Optimization for MP-DSAL Compliant Layout
Authors
Seongbo Shim
Youngsoo Shin
Copyright Year
2018
DOI
https://doi.org/10.1007/978-3-319-76294-4_4

Premium Partners