Skip to main content
Top
Published in: Journal of Electronic Testing 4/2018

31-05-2018

Test and Reliability in Approximate Computing

Authors: Lorena Anghel, Mounir Benabdenbi, Alberto Bosio, Marcello Traiola, Elena Ioana Vatajelu

Published in: Journal of Electronic Testing | Issue 4/2018

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

This paper presents an overview of test and reliability approaches for approximate computing architectures. We focus on how specific methods for test and reliability can be used to improve the characteristics of approximate computing in terms of power consumption, area, life expectancy and precision. This paper does not address specification and design of approximate hardware/software/algorithms, but provides an in-depth knowledge on how the reliability and test related techniques can be efficiently used to maximize the benefits of approximate computing.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Show more products
Literature
3.
go back to reference Anghel L, Benabdenbi M, Bosio A, Vatajelu EI (2017) Test and reliability in approximate computing. In Proc. International Mixed Signals Testing Workshop (IMSTW), Thessaloniki, pp. 1–6 Anghel L, Benabdenbi M, Bosio A, Vatajelu EI (2017) Test and reliability in approximate computing. In Proc. International Mixed Signals Testing Workshop (IMSTW), Thessaloniki, pp. 1–6
4.
go back to reference Austin T et al (2005) Opportunities and challenges for better than worst-case design. In Proc. Asia and South Pacific Design Automation Conference (ASP-DAC), pages 2–7 Austin T et al (2005) Opportunities and challenges for better than worst-case design. In Proc. Asia and South Pacific Design Automation Conference (ASP-DAC), pages 2–7
5.
go back to reference Borkar S, Karnik T, De V(2004) Design and reliability challenges in nanometer technologies. In Proc IEEE/ACM Design Automation Conference (DAC), pp 7–11 Borkar S, Karnik T, De V(2004) Design and reliability challenges in nanometer technologies. In Proc IEEE/ACM Design Automation Conference (DAC), pp 7–11
6.
go back to reference Chakradhar ST, Raghunathan A (2010) Best-effort computing: re-thinking parallel software and hardware. In Proc. Design Automation Conference, Anaheim, CA, pp. 865–870 Chakradhar ST, Raghunathan A (2010) Best-effort computing: re-thinking parallel software and hardware. In Proc. Design Automation Conference, Anaheim, CA, pp. 865–870
7.
go back to reference Chen Y-K et al (2008) Convergence of recognition, mining, synthesis workloads and its implications. Proc IEEE 96(5):790–807CrossRef Chen Y-K et al (2008) Convergence of recognition, mining, synthesis workloads and its implications. Proc IEEE 96(5):790–807CrossRef
8.
go back to reference Chippa VK, Chakradhar ST, Roy K, Raghunathan A (2013)Analysis and characterization of inherent application resilience for approximate computing. In Proc. IEEE/ACM Design Automation Conference Chippa VK, Chakradhar ST, Roy K, Raghunathan A (2013)Analysis and characterization of inherent application resilience for approximate computing. In Proc. IEEE/ACM Design Automation Conference
9.
go back to reference Chippa VK et al (2015) Scalable effort hardware design. IEEE Trans Very Large Scale Integr VLSI Syst 22(9):2004–2016 Chippa VK et al (2015) Scalable effort hardware design. IEEE Trans Very Large Scale Integr VLSI Syst 22(9):2004–2016
10.
go back to reference Esmaeilzadeh H, Sampson A, Ceze L, Burger D (2012) Neural acceleration for general-purpose approximate programs. In Proc. International Symposium on Microarchitecture (MICRO), pp. 449–460 Esmaeilzadeh H, Sampson A, Ceze L, Burger D (2012) Neural acceleration for general-purpose approximate programs. In Proc. International Symposium on Microarchitecture (MICRO), pp. 449–460
11.
go back to reference Esmaeilzadeh H, Sampson A, Ceze L, Burger D (2012) Architecture support for disciplined approximate programming. Isn Proc. Int. Conf. Architect. Support Programm. Lang. Oper. Syst., pp. 301–312 Esmaeilzadeh H, Sampson A, Ceze L, Burger D (2012) Architecture support for disciplined approximate programming. Isn Proc. Int. Conf. Architect. Support Programm. Lang. Oper. Syst., pp. 301–312
12.
go back to reference Ganapathy S, Karakonstantis G, Teman A, Burg A (2015) Mitigating the impact of faults in unreliable memories for error-resilient applications. Proceedings of the 52nd Annual Design Automation Conference, 2015 Ganapathy S, Karakonstantis G, Teman A, Burg A (2015) Mitigating the impact of faults in unreliable memories for error-resilient applications. Proceedings of the 52nd Annual Design Automation Conference, 2015
13.
go back to reference Gilani SZ, Kim NS, Schulte M (2013) Scratchpad memory optimization for digital signal processing applications. In Proc. IEEE/ACM Design Automation and Test in Europe Gilani SZ, Kim NS, Schulte M (2013) Scratchpad memory optimization for digital signal processing applications. In Proc. IEEE/ACM Design Automation and Test in Europe
14.
go back to reference Gupta V, et al (2011) IMPACT: IMPrecise adders for low-power approximate computing. In Proc. ACM/IEEE International Symposium on Low Power Electronics and Design (ISPLED), pp. 409–414 Gupta V, et al (2011) IMPACT: IMPrecise adders for low-power approximate computing. In Proc. ACM/IEEE International Symposium on Low Power Electronics and Design (ISPLED), pp. 409–414
15.
go back to reference Han J, Orshansky M, (2013) Approximate computing: an emerging paradigm for energy-efficient design. In Proc. IEEE European Test Symposium (ETS), pp. 1–6 Han J, Orshansky M, (2013) Approximate computing: an emerging paradigm for energy-efficient design. In Proc. IEEE European Test Symposium (ETS), pp. 1–6
16.
go back to reference Hoffmann H, Misailovic S, Sidiroglou S, Agarwal A, Rinard M (2009) Using code perforation to improve performance, reduce energy consumption, respond to failures. MIT Technical Report: MIT-CSAIL-TR 2009-042 Hoffmann H, Misailovic S, Sidiroglou S, Agarwal A, Rinard M (2009) Using code perforation to improve performance, reduce energy consumption, respond to failures. MIT Technical Report: MIT-CSAIL-TR 2009-042
17.
go back to reference Jaina SVS, Raghunathan A (2016) Approximation through logic isolation for the design of quality configurable circuits. In Proc. of the Design, Automation & Test in Europe Conference Jaina SVS, Raghunathan A (2016) Approximation through logic isolation for the design of quality configurable circuits. In Proc. of the Design, Automation & Test in Europe Conference
18.
go back to reference Jiang Z, Gupta SK (2002) An ATPG for threshold testing: obtaining acceptable yield in future processes. In Proc. International Test Conference, pp. 824–833 Jiang Z, Gupta SK (2002) An ATPG for threshold testing: obtaining acceptable yield in future processes. In Proc. International Test Conference, pp. 824–833
19.
go back to reference Jiang H, et al (2015) A comparative review and evaluation of approximate adders. Proc. ACM Great Lakes Symposium on VLSI (GLSVLSI), pp. 343–348 Jiang H, et al (2015) A comparative review and evaluation of approximate adders. Proc. ACM Great Lakes Symposium on VLSI (GLSVLSI), pp. 343–348
20.
go back to reference Kahng AB, Kang S, (2012) Accuracy-configurable adder for approximate arithmetic designs. In Proc. IEEE/ACM Design Automation Conference (DAC), pp. 820–825 Kahng AB, Kang S, (2012) Accuracy-configurable adder for approximate arithmetic designs. In Proc. IEEE/ACM Design Automation Conference (DAC), pp. 820–825
21.
go back to reference Kahng AB, Kang S, Kumar R, Sartori J (2010) Slack redistribution for graceful degradation under voltage overscaling. In Proc. 15th Asia and South Pacific Design Automation Conference (ASP-DAC). IEEE, pp. 825–831 Kahng AB, Kang S, Kumar R, Sartori J (2010) Slack redistribution for graceful degradation under voltage overscaling. In Proc. 15th Asia and South Pacific Design Automation Conference (ASP-DAC). IEEE, pp. 825–831
22.
go back to reference Keramidas G, et al (2015) Clumsy value cache: an approximate memoization technique for mobile GPU fragment shaders. Proc. Workshop on Approximate Computing (WAPCO) Keramidas G, et al (2015) Clumsy value cache: an approximate memoization technique for mobile GPU fragment shaders. Proc. Workshop on Approximate Computing (WAPCO)
23.
go back to reference Kim Y, Venkataramani S, Roy K, Raghunathan A (2016) Designing approximate circuits using clock overgating. In Proc. of the Design Automation Conference Kim Y, Venkataramani S, Roy K, Raghunathan A (2016) Designing approximate circuits using clock overgating. In Proc. of the Design Automation Conference
24.
go back to reference Kulkarni P, Gupta P, Ercegovac MD (2011) Trading accuracy for power in a multiplier architecture. J Low Power Electron 7(4):490–501 Kulkarni P, Gupta P, Ercegovac MD (2011) Trading accuracy for power in a multiplier architecture. J Low Power Electron 7(4):490–501
25.
go back to reference Kulkarni P, Gupta P, Ercegovac M (2011) Trading accuracy for power with an underdesigned multiplier architecture. In Proc. 2011 24th Internatioal Conference on VLSI Design, pp. 346–351 Kulkarni P, Gupta P, Ercegovac M (2011) Trading accuracy for power with an underdesigned multiplier architecture. In Proc. 2011 24th Internatioal Conference on VLSI Design, pp. 346–351
26.
go back to reference Lee KJ, Hsieh TY, Breuer MA (May 2012) Efficient overdetection elimination of acceptable faults for yield improvement. IEEE Trans Comput Aided Des Integr Circuits Syst 31(5):754–764CrossRef Lee KJ, Hsieh TY, Breuer MA (May 2012) Efficient overdetection elimination of acceptable faults for yield improvement. IEEE Trans Comput Aided Des Integr Circuits Syst 31(5):754–764CrossRef
27.
go back to reference Lee I, Kwon J, Park J, Park J (2013) Priority based error correction code (ECC) for the embedded SRAM memories in H.264 system. J Signal Process Syst 73(2):123–136CrossRef Lee I, Kwon J, Park J, Park J (2013) Priority based error correction code (ECC) for the embedded SRAM memories in H.264 system. J Signal Process Syst 73(2):123–136CrossRef
28.
go back to reference Maricau E, Gielen G (2013) Analog IC reliability in nanometer CMOS, Analog Circuits and Signal Processing, Springer Science+Business Media New York Maricau E, Gielen G (2013) Analog IC reliability in nanometer CMOS, Analog Circuits and Signal Processing, Springer Science+Business Media New York
29.
go back to reference Mittal S (2016) A survey of techniques for approximate computing. ACM Comput Surv (CSUR) 48(4):1–33 Mittal S (2016) A survey of techniques for approximate computing. ACM Comput Surv (CSUR) 48(4):1–33
30.
go back to reference Palomino D, Shafique M, Susin A, Henkel J (2016) Thermal optimization using adaptive approximate computing for video coding. In Proc IEEE/ACM Design, Automation & Test in Europe Conference & Exhibition (DATE) Palomino D, Shafique M, Susin A, Henkel J (2016) Thermal optimization using adaptive approximate computing for video coding. In Proc IEEE/ACM Design, Automation & Test in Europe Conference & Exhibition (DATE)
31.
go back to reference Ragavan R, Killian C, Sentieys O (2016) Adaptive overclocking and error correction based on dynamic speculation window. In Proc IEEE Computer Society Annual Symp on VLSI (ISVLSI) Ragavan R, Killian C, Sentieys O (2016) Adaptive overclocking and error correction based on dynamic speculation window. In Proc IEEE Computer Society Annual Symp on VLSI (ISVLSI)
32.
go back to reference Ragavan R, Barrois B, Killian C, Sentieys O (2017) Pushing the limits of voltage over-scaling for error resilient applications. In Proc. Design, Automation & Test in Europe Conference & Exhibition Ragavan R, Barrois B, Killian C, Sentieys O (2017) Pushing the limits of voltage over-scaling for error resilient applications. In Proc. Design, Automation & Test in Europe Conference & Exhibition
33.
go back to reference Ramasubramanian SG, Venkataramani S, Parandhaman A, Raghunathan A (2013) Relax-and-retime: a methodology for energy-efficient recovery based design. In Proc. 50th ACM/EDAC/IEEE Design Automation Conference (DAC). IEEE, pp. 1–6 Ramasubramanian SG, Venkataramani S, Parandhaman A, Raghunathan A (2013) Relax-and-retime: a methodology for energy-efficient recovery based design. In Proc. 50th ACM/EDAC/IEEE Design Automation Conference (DAC). IEEE, pp. 1–6
34.
go back to reference Ringenburg M, et al (2015) “Monitoring and debugging the quality of results in approximate programs”, Proc ACM Architectural Support for Programming Languages and Operating Systems (ASPLOS), pp. 399–411 Ringenburg M, et al (2015) “Monitoring and debugging the quality of results in approximate programs”, Proc ACM Architectural Support for Programming Languages and Operating Systems (ASPLOS), pp. 399–411
35.
go back to reference Shafique M, Hafiz R, Rehman S, ElHAroun W, Henkel J (2016) Cross-layer approximate computing: from logic to architectures, invited talk, June 05–09. In Proc. IEEE/ACM Design Automation Conference (DAC), Austin, TX Shafique M, Hafiz R, Rehman S, ElHAroun W, Henkel J (2016) Cross-layer approximate computing: from logic to architectures, invited talk, June 05–09. In Proc. IEEE/ACM Design Automation Conference (DAC), Austin, TX
36.
go back to reference Shi Q, Hoffmann H, Khan O (2015) A HW-SW multicore architecture to tradeoff program accuracy and resilience overheads. IEEE Comput Archit Lett 14:85–89CrossRef Shi Q, Hoffmann H, Khan O (2015) A HW-SW multicore architecture to tradeoff program accuracy and resilience overheads. IEEE Comput Archit Lett 14:85–89CrossRef
37.
go back to reference Sidiroglou S, Misailovic S, Hoffmann H, Rinard M (2011) Managing performance vs. accuracy trade-offs with loop perforation. In Proc. ACM SIGSOFT Symposium and the 13th European Conference on Foundations of Software Engineering (ESEC/FSE), pp. 124–134 Sidiroglou S, Misailovic S, Hoffmann H, Rinard M (2011) Managing performance vs. accuracy trade-offs with loop perforation. In Proc. ACM SIGSOFT Symposium and the 13th European Conference on Foundations of Software Engineering (ESEC/FSE), pp. 124–134
38.
go back to reference Sindia S, Agrawal VD, (2012) Tailoring tests for functional binning of integrated circuits. In Proc. 2012 IEEE 21st Asian Test Symposium, pp. 95–100 Sindia S, Agrawal VD, (2012) Tailoring tests for functional binning of integrated circuits. In Proc. 2012 IEEE 21st Asian Test Symposium, pp. 95–100
39.
go back to reference Teman A, Karakonstantis G, Giterman R, Meinerzhagen P, Burg A (2015) Energy versus data integrity tradeoffs in embedded high-density logic compatible dynamic memories. In Proc. of the Design, Automation & Test in Europe Conference & Exhibition Teman A, Karakonstantis G, Giterman R, Meinerzhagen P, Burg A (2015) Energy versus data integrity tradeoffs in embedded high-density logic compatible dynamic memories. In Proc. of the Design, Automation & Test in Europe Conference & Exhibition
40.
go back to reference Vassiliadis V, et al. (2015) A programming model and runtime system for significance-aware energy-efficient computing. Proc. Workshop on Approximate Computing (WAPCO) Vassiliadis V, et al. (2015) A programming model and runtime system for significance-aware energy-efficient computing. Proc. Workshop on Approximate Computing (WAPCO)
41.
go back to reference Venkataramani S, et al (2012) SALSA: systematic logic synthesis of approximate circuits. In Proc. IEEE/ACM Design Automation Conference (DAC), pp. 796–801 Venkataramani S, et al (2012) SALSA: systematic logic synthesis of approximate circuits. In Proc. IEEE/ACM Design Automation Conference (DAC), pp. 796–801
42.
go back to reference Venkataramani S, Roy K, Raghunathan A (2013) Substitute-and simplify: a unified design paradigm for approximate and quality configurable circuits. In Proc. Design, Automation and Test in Europe, DATE’13. EDA Consortium San Jose, CA, USA, pp. 1367–1372 Venkataramani S, Roy K, Raghunathan A (2013) Substitute-and simplify: a unified design paradigm for approximate and quality configurable circuits. In Proc. Design, Automation and Test in Europe, DATE’13. EDA Consortium San Jose, CA, USA, pp. 1367–1372
43.
go back to reference Wali I, Traiola M, Virazel A, Girard P, Barbareschi M, Bosio A (2017) Towards approximation during test of integrated circuits. In Proc. IEEE 20th International Symposium on Design and Diagnostics of Electronic Circuits Systems Wali I, Traiola M, Virazel A, Girard P, Barbareschi M, Bosio A (2017) Towards approximation during test of integrated circuits. In Proc. IEEE 20th International Symposium on Design and Diagnostics of Electronic Circuits Systems
44.
go back to reference Wan L Chen D (2012) Ccp: common case promotion for improved timing error resilience with energy efficiency. In Proc. the 2012 ACM/IEEE International Symposium on Low Power Electronics and Design, ser. ISLPED ‘12. ACM, pp. 135–140 Wan L Chen D (2012) Ccp: common case promotion for improved timing error resilience with energy efficiency. In Proc. the 2012 ACM/IEEE International Symposium on Low Power Electronics and Design, ser. ISLPED ‘12. ACM, pp. 135–140
45.
go back to reference Xu Q, Mytkowicz T, Sung Kim N (2016) Approximate Computing: a survey. IEEE Des Test Comput 33(1):8–22 Xu Q, Mytkowicz T, Sung Kim N (2016) Approximate Computing: a survey. IEEE Des Test Comput 33(1):8–22
Metadata
Title
Test and Reliability in Approximate Computing
Authors
Lorena Anghel
Mounir Benabdenbi
Alberto Bosio
Marcello Traiola
Elena Ioana Vatajelu
Publication date
31-05-2018
Publisher
Springer US
Published in
Journal of Electronic Testing / Issue 4/2018
Print ISSN: 0923-8174
Electronic ISSN: 1573-0727
DOI
https://doi.org/10.1007/s10836-018-5734-9

Other articles of this Issue 4/2018

Journal of Electronic Testing 4/2018 Go to the issue

EditorialNotes

Editorial