Skip to main content
Top
Published in: Journal of Computational Electronics 2/2015

01-06-2015

Effects of Fin shape on sub-10 nm FinFETs

Authors: Zhihao Yu, Sheng Chang, Hao Wang, Jin He, Qijun Huang

Published in: Journal of Computational Electronics | Issue 2/2015

Log in

Activate our intelligent search to find suitable subject content or patents.

search-config
loading …

Abstract

As a successful novel structure, FinFET has been a hot research area, whereas how Fin influences FinFETs’ performance on the hypothetical silicon process limitation is still an open issue. In reported works, Fin shape was normally mixed with the change of other parameters, such as the size scaling, and its effect was confused by those. In this paper, we just focus on Fin shape’s effect itself. A simple and quantitative two-incline-angle description of Fin shape is proposed. Using this method, four typical (trapezoidal, rectangular, convex and concave) Fins’ control abilities upon the hypothetical silicon limitation process node (sub-10 nm) are analyzed, and their impacts on FinFETs’ characteristics are discussed systematically. The results show that in this case the rectangle shape Fin is prior on both analog and digital characteristics. The Fin shape’s influence on FETs’ frequency characteristic is not obvious. As an in-depth exploration, a ratio factor between the effective channel width and the cross-section area of channel is pointed out. The proposed factor can quantitatively evaluate Fin’s impact not only for the regular shape Fins but also for the irregular ones. This work gives a guidance of FinFET’s design on both nano scale silicon FinFETs and other advanced material FinFETs.

Dont have a licence yet? Then find out more about our products and how to get one now:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literature
1.
go back to reference Hisamoto, D., Lee, W.-C., Kedzierski, J., Takeuchi, H., Asano, K., Kuo, C., Anderson, E., King, T.-J., Bokor, J., Chenming, H.: FinFET-a self-aligned double-gate MOSFET scalable to 20 nm. IEEE Trans. Electron Dev. 47(12), 2320–2325 (2000)CrossRef Hisamoto, D., Lee, W.-C., Kedzierski, J., Takeuchi, H., Asano, K., Kuo, C., Anderson, E., King, T.-J., Bokor, J., Chenming, H.: FinFET-a self-aligned double-gate MOSFET scalable to 20 nm. IEEE Trans. Electron Dev. 47(12), 2320–2325 (2000)CrossRef
2.
go back to reference Park, J.-T., Colinge, J.: Multiple-gate SOI MOSFETs: device design guidelines. IEEE Trans. Electron Dev. 49(12), 2222–2229 (2002)CrossRef Park, J.-T., Colinge, J.: Multiple-gate SOI MOSFETs: device design guidelines. IEEE Trans. Electron Dev. 49(12), 2222–2229 (2002)CrossRef
3.
go back to reference Choi, J.A., Kwon, L., You, S.J., Lee, Y.-J., Soo, Y.L., Geon, U.L., Lee, S.-H., Min, C.S., Kim, D.-C., Young, M.L., Su, G.B., Yang, J.-H., Shigenobu, M., Lee, N., Kang, H., Suh, K.-P.: Large scale integration and reliability consideration of triple gate transistors. In: IEEE Technical Digest of International Electron Devices Meeting (IEDM), 647–650 (2004) Choi, J.A., Kwon, L., You, S.J., Lee, Y.-J., Soo, Y.L., Geon, U.L., Lee, S.-H., Min, C.S., Kim, D.-C., Young, M.L., Su, G.B., Yang, J.-H., Shigenobu, M., Lee, N., Kang, H., Suh, K.-P.: Large scale integration and reliability consideration of triple gate transistors. In: IEEE Technical Digest of International Electron Devices Meeting (IEDM), 647–650 (2004)
4.
go back to reference James, D.: Intel Ivy Bridge unveiled—the first commercial tri-gate, high-k, metal-gate CPU. In: Custom Integrated Circuits Conference (CICC), 2012 IEEE, San Jose, 1–4 (2012) James, D.: Intel Ivy Bridge unveiled—the first commercial tri-gate, high-k, metal-gate CPU. In: Custom Integrated Circuits Conference (CICC), 2012 IEEE, San Jose, 1–4 (2012)
6.
go back to reference Xi, Q., Singh, A., Chatterjee, A.: Diagnosing multiple slow gates for performance tuning in the face of extreme process variations test symposium (ATS), 2011 20th Asian, New Delhi, 303–310 (2011) Xi, Q., Singh, A., Chatterjee, A.: Diagnosing multiple slow gates for performance tuning in the face of extreme process variations test symposium (ATS), 2011 20th Asian, New Delhi, 303–310 (2011)
7.
go back to reference Leung, G., Liangzhen, L., Gupta, P., Chi, O.C.: Device- and circuit-level variability caused by line edge roughness for sub-32-nm FinFET technologies. IEEE Trans. Electron Dev. 59(8), 2057–2063 (2012) Leung, G., Liangzhen, L., Gupta, P., Chi, O.C.: Device- and circuit-level variability caused by line edge roughness for sub-32-nm FinFET technologies. IEEE Trans. Electron Dev. 59(8), 2057–2063 (2012)
8.
go back to reference Baravelli, E., Dixit, A., Rooyackers, R., Jurczak, M., Speciale, N., De Meyer, K.: Impact of line-edge roughness on FinFET matching performance. IEEE Trans. Electron Dev. 54(9), 2466–2474 (2007) Baravelli, E., Dixit, A., Rooyackers, R., Jurczak, M., Speciale, N., De Meyer, K.: Impact of line-edge roughness on FinFET matching performance. IEEE Trans. Electron Dev. 54(9), 2466–2474 (2007)
9.
go back to reference Patel, K., Tsu-Jae, K.L., Spanos, C.J.: Gate line edge roughness model for estimation of FinFET performance variability. IEEE Trans. Electron Dev. 56(12), 3055–3063 (2009)CrossRef Patel, K., Tsu-Jae, K.L., Spanos, C.J.: Gate line edge roughness model for estimation of FinFET performance variability. IEEE Trans. Electron Dev. 56(12), 3055–3063 (2009)CrossRef
10.
go back to reference Xusheng, W., Chan, P.C.H., Chan, M.: Impacts of nonrectangular fin cross section on the electrical characteristics of FinFET. IEEE Trans. Electron Dev. 52(1), 63–68 (2005)CrossRef Xusheng, W., Chan, P.C.H., Chan, M.: Impacts of nonrectangular fin cross section on the electrical characteristics of FinFET. IEEE Trans. Electron Dev. 52(1), 63–68 (2005)CrossRef
11.
go back to reference Giacomini, R., Martino, J.A.: Trapezoidal cross-sectional influence on FinFET threshold voltage and corner effects. J. Electrochem. Soc. 155(4), H213–H217 (2008)CrossRef Giacomini, R., Martino, J.A.: Trapezoidal cross-sectional influence on FinFET threshold voltage and corner effects. J. Electrochem. Soc. 155(4), H213–H217 (2008)CrossRef
12.
go back to reference Buhler, R.T., Giacomini, R., Pavanello, M.A., Martino, J.A.: Trapezoidal SOI FinFET analog parameters’ dependence on cross-section shape. Semicond. Sci. Technol. 24(11), 115017 (2009)CrossRef Buhler, R.T., Giacomini, R., Pavanello, M.A., Martino, J.A.: Trapezoidal SOI FinFET analog parameters’ dependence on cross-section shape. Semicond. Sci. Technol. 24(11), 115017 (2009)CrossRef
13.
go back to reference Buhler, R.T., Martino, J.A., Agopian, P.G.D., Giacomini, R., Simoen, E., Claeys, C.: Fin shape influence on the analog performance of standard and strained MuGFETs. In: 2010 IEEE International on SOI Conference (SOI), San Diego, 1–2 (2010) Buhler, R.T., Martino, J.A., Agopian, P.G.D., Giacomini, R., Simoen, E., Claeys, C.: Fin shape influence on the analog performance of standard and strained MuGFETs. In: 2010 IEEE International on SOI Conference (SOI), San Diego, 1–2 (2010)
14.
go back to reference Stanojevic, Z., Karner, M., Kosina, H.: Exploring the design space of non-planar channels: shape, orientation, and strain. 2013 IEEE International on Electron Devices Meeting (IEDM), Washington, 12–16 (2013) Stanojevic, Z., Karner, M., Kosina, H.: Exploring the design space of non-planar channels: shape, orientation, and strain. 2013 IEEE International on Electron Devices Meeting (IEDM), Washington, 12–16 (2013)
15.
go back to reference Hyohyun, N., Changhwan, S.: Impact of current flow shape in tapered (versus rectangular) FinFET on threshold voltage variation induced by work-function variation. IEEE Transa. Electron Dev. 61(6), 2007–2011 (2014)CrossRef Hyohyun, N., Changhwan, S.: Impact of current flow shape in tapered (versus rectangular) FinFET on threshold voltage variation induced by work-function variation. IEEE Transa. Electron Dev. 61(6), 2007–2011 (2014)CrossRef
16.
go back to reference Gaynor, B.D., Hassoun, S.: Fin shape impact on FinFET leakage with application to multithreshold and ultralow-leakage FinFET design. IEEE Trans. Electron Dev. 61(8), 2738–2744 (2014)CrossRef Gaynor, B.D., Hassoun, S.: Fin shape impact on FinFET leakage with application to multithreshold and ultralow-leakage FinFET design. IEEE Trans. Electron Dev. 61(8), 2738–2744 (2014)CrossRef
17.
go back to reference Liu, Y.X., Ishii, K., Masahara, M., Tsutsumi, T., Takashima, H., Yamauchi, H., Suzuki, E.: Cross-sectional channel shape dependence of short-channel effects in fin-type double-gate metal oxide semiconductor field-effect transistors. Jpn. J. Appl. Phys. 43(4B), 2151–2155 (2004) Liu, Y.X., Ishii, K., Masahara, M., Tsutsumi, T., Takashima, H., Yamauchi, H., Suzuki, E.: Cross-sectional channel shape dependence of short-channel effects in fin-type double-gate metal oxide semiconductor field-effect transistors. Jpn. J. Appl. Phys. 43(4B), 2151–2155 (2004)
18.
go back to reference Jan, C.H., Bhattacharya, U., Brain, R., Choi, S.J., Curello, G., Gupta, G., Hafez, W., Jang, M., Kang, M., Komeyli, K., Leo, T., Nidhi, N., Pan, L., Park, J., Phoa, K., Rahman, A., Staus, C., Tashiro, H., Tsai, C., Vandervoorn, P., Yang, L., Yeh, J.Y., Bai, P.: A 22nm SoC platform technology featuring 3-D tri-gate and high-k/metal gate, optimized for ultra low power, high performance and high density SoC applications. In: 2012 IEEE International on Electron Devices Meeting (IEDM), San Francisco, 1–3 (2012) Jan, C.H., Bhattacharya, U., Brain, R., Choi, S.J., Curello, G., Gupta, G., Hafez, W., Jang, M., Kang, M., Komeyli, K., Leo, T., Nidhi, N., Pan, L., Park, J., Phoa, K., Rahman, A., Staus, C., Tashiro, H., Tsai, C., Vandervoorn, P., Yang, L., Yeh, J.Y., Bai, P.: A 22nm SoC platform technology featuring 3-D tri-gate and high-k/metal gate, optimized for ultra low power, high performance and high density SoC applications. In: 2012 IEEE International on Electron Devices Meeting (IEDM), San Francisco, 1–3 (2012)
19.
go back to reference Huguenin, J.L., Lacord, J., Monfray, S., Coquand, R., Skotnicki, T., Ghibaudo, G., Boeuf, F.: Comparative study of circuit perspectives for multi-gate structures at sub-10nm node. In: 2011 Proceedings of the European on Solid-State Device Research Conference (ESSDERC), Helsinki, 107–110 (2011) Huguenin, J.L., Lacord, J., Monfray, S., Coquand, R., Skotnicki, T., Ghibaudo, G., Boeuf, F.: Comparative study of circuit perspectives for multi-gate structures at sub-10nm node. In: 2011 Proceedings of the European on Solid-State Device Research Conference (ESSDERC), Helsinki, 107–110 (2011)
21.
go back to reference Fiori, G., Iannaccone, G.: Three-dimensional simulation of one-dimensional transport in silicon nanowire transistors. IEEE Trans. Nanotechnol. 6(5), 524–529 (2007)CrossRef Fiori, G., Iannaccone, G.: Three-dimensional simulation of one-dimensional transport in silicon nanowire transistors. IEEE Trans. Nanotechnol. 6(5), 524–529 (2007)CrossRef
22.
go back to reference Prati, E., De Michielis, M., Belli, M., Cocco, S., Fanciulli, M., Kotekar-Patil, D., Ruoff, M., Kern, D.P., Wharam, D.A., Verduijn, J., Rogge, G.C., Roche, S., Wacquez, R., Jehl, X., Vinet, M., Sanquer, M.: Few electron limit of n-type metal oxide semiconductor single electron transistors. Nanotechnology 23(21), 215204 (2012)CrossRef Prati, E., De Michielis, M., Belli, M., Cocco, S., Fanciulli, M., Kotekar-Patil, D., Ruoff, M., Kern, D.P., Wharam, D.A., Verduijn, J., Rogge, G.C., Roche, S., Wacquez, R., Jehl, X., Vinet, M., Sanquer, M.: Few electron limit of n-type metal oxide semiconductor single electron transistors. Nanotechnology 23(21), 215204 (2012)CrossRef
23.
go back to reference Hao, W., Sheng, C., Yue, H., Hongyu, H., Jin, H., Qijun, H., He, F., Gaofeng, W.: A novel barrier controlled tunnel FET. IEEE Electron Dev. Lett. 35(7), 798–800 (2014)CrossRef Hao, W., Sheng, C., Yue, H., Hongyu, H., Jin, H., Qijun, H., He, F., Gaofeng, W.: A novel barrier controlled tunnel FET. IEEE Electron Dev. Lett. 35(7), 798–800 (2014)CrossRef
24.
go back to reference Annema, A.J., Nauta, B., van Langevelde, R., Tuinhout, H.: Analog circuits in ultra-deep-submicron CMOS. IEEE J. Solid-State Circuits 40(1), 132–143 (2005)CrossRef Annema, A.J., Nauta, B., van Langevelde, R., Tuinhout, H.: Analog circuits in ultra-deep-submicron CMOS. IEEE J. Solid-State Circuits 40(1), 132–143 (2005)CrossRef
25.
go back to reference Colinge, J.: FinFETs and other multi-gate transistors. Springer, New York (2008)CrossRef Colinge, J.: FinFETs and other multi-gate transistors. Springer, New York (2008)CrossRef
26.
go back to reference Chang, Y.K., Changwoo, S., Rock-Hyun, B., Hobbs, C., Kirsch, P., Jammy, R.: Effects of layout and process parameters on device/circuit performance and variability for 10nm node FinFET technology. In: 2013 Symposium on VLSI Technology (VLSIT), Kyoto, T90–T91 (2013) Chang, Y.K., Changwoo, S., Rock-Hyun, B., Hobbs, C., Kirsch, P., Jammy, R.: Effects of layout and process parameters on device/circuit performance and variability for 10nm node FinFET technology. In: 2013 Symposium on VLSI Technology (VLSIT), Kyoto, T90–T91 (2013)
Metadata
Title
Effects of Fin shape on sub-10 nm FinFETs
Authors
Zhihao Yu
Sheng Chang
Hao Wang
Jin He
Qijun Huang
Publication date
01-06-2015
Publisher
Springer US
Published in
Journal of Computational Electronics / Issue 2/2015
Print ISSN: 1569-8025
Electronic ISSN: 1572-8137
DOI
https://doi.org/10.1007/s10825-015-0677-1

Other articles of this Issue 2/2015

Journal of Computational Electronics 2/2015 Go to the issue