Skip to main content
Top
Published in: Journal of Materials Science 12/2021

Open Access 25-01-2021 | Review

Review on advances in microcrystalline, nanocrystalline and ultrananocrystalline diamond films-based micro/nano-electromechanical systems technologies

Authors: Orlando Auciello, Dean M. Aslam

Published in: Journal of Materials Science | Issue 12/2021

Activate our intelligent search to find suitable subject content or patents.

search-config
insite
CONTENT
download
DOWNLOAD
print
PRINT
insite
SEARCH
loading …

Abstract

A comprehensive review is presented on the advances achieved in past years on fundamental and applied materials science of diamond films and engineering to integrate them into new generations of microelectromechanical system (MEMS) and nanoelectromechanical systems (NEMS). Specifically, the review focuses on describing the fundamental science performed to develop thin film synthesis processes and the characterization of chemical, mechanical, tribological and electronic properties of microcrystalline diamond, nanocrystalline diamond and ultrananocrystalline diamond films technologies, and the research and development focused on the integration of the diamond films with other film-based materials. The review includes both theoretical and experimental work focused on optimizing the films synthesis and the resulting properties to achieve the best possible MEMS/NEMS devices performance to produce new generation of MEMS/NEMS external environmental sensors and energy generation devices, human body implantable biosensors and energy generation devices, electron field emission devices and many more MEMS/NEMS devices, to produce transformational positive impact on the way and quality of life of people worldwide.
Notes
Handling Editor: David Cann.

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Introduction

Relatively medium level appropriate mechanical and excellent electrical properties, the latter optimized for many years of development of Si microelectronics, prompted researchers to perform R&D to investigate the applicability of Si to fabricate microelectromechanical system (MEMS) devices. The idea of using Si for production of MEMS devices arose from the hypothesis that the MEMS structures could be integrated with the well-developed Si-based electronics circuit/devices on the same Si substrate, providing the basis for fabrication of compact/efficient/low energy consumption Si-based MEMS devices. However, Si has material limitations from the mechanical and surface tribological point of view [1], which are critical properties for MEMS devices. Specifically, Si exhibits both relatively low Young’s modulus and fracture toughness, compared to many other materials, and also exhibits comparatively large coefficient of friction (COF) and mechanical wear, resulting in Si-based MEMS devices with high energy loss, due high friction of MEMS devices sliding parts. In addition, Si-based MEMS devices involving components subject to rolling or sliding motion exhibit failure due to wear of components in short time [24]. The problems described above can be enhanced by interacting surfaces of components in humid environments, which result in surfaces adhesion to each other [5] or by low device operating temperature and/or heat dissipation induced by a small energy band gap [3]. Therefore, the information presented above indicate that Si is not an appropriate material for fabrication of several MEMS devices, even if they operate at room temperature. On the other hand, research by independent groups revealed that Si MEMS components coated with Si3N4 or SiC films exhibit improved mechanical behavior than pure Si in MEMS devices operating at room temperature, However, research by independent groups demonstrated that Si3N4 or SiC-coated Si MEMS components also exhibit materials and device fabrication limitations [6, 7].
The information presented above induced scientist and engineers to investigate new materials for fabrication of MEMS devices. In this sense, diamond and diamond-like carbon (DLC) are considered as materials with order of magnitude superior mechanical and tribological properties than SI for MEMS applications. In addition, metals, other than Si semiconductors, polymers, oxide materials, the latter with piezoelectric properties, are being investigated for their suitability for integration with the diamond or DLC materials to provide mechanical action properties. Recently, the world first RF-MEMS switch made of single crystal diamond (SCD) was reported, showing better functionality, including device reliability, lifetime, speed, and electrical performance, compared to existing RF-MEMS switches [8]. Although SCD demonstrated the superiority of this material for MEMS devices, a problem is that SCD is currently not suitable for industrial/low cost process on large scale substrates, as currently used in the fabrication of Si electronics devises on 300 mm diameter Si substrates. Therefore, polycrystalline diamond (PCD) and DLC films are investigated for fabrication of MEMS devices because they not only exhibit many of the properties of SCD, but they can be grown on a wide range of large area substrates with good film growth rates and thickness and structure uniformity, making them suitable for very large-scale production to fabricate diamond film-based MEMS devise on large area substrates at low cost. Three distinct forms of PCD are microcrystalline diamond (MCD- grain sizes in the range ≥ 1 micron), nanocrystalline diamond (NCD-grain sizes in the range 10–100s nm) and ultrananocrystalline diamond (UNCD-grain sizes in the range 3–5 nm)). All films mentioned above are grown inside chambers where air has been evacuated, to produce vacuums in the range 10–7–10–8 Torr, and flowing gas mixtures as described in the following sentences. MCD films are grown flowing H2 (99%)/CH4 (1%) gases into air evacuated chamber and coupling microwave power to produce a plasma that generate CHx (x = 1, 2, 3) and C species, which upon landing on the substrate surface grow the film [4]. NCD films are grown flowing mixtures of H2 (96%) /CH4 (4%) [10]. UNCD films are grown using a unique patented Ar (99%)/CH4 (1%) gas mixture that induces the production of C2 dimers + CHx (x = 1, 2, 3) species, which produce the 3–5 nm grains that define UNCD [2, 4]. DLC films exhibit an amorphous structure displaying some of the typical properties of diamond. DLC exists in seven different forms, all containing significant amounts of sp3 hybridized carbon atoms bonds (bond of diamond). The reason that there are different types of DLC forms is because even diamond can be found in two crystalline polytypes. DLC has the highest packed atomic structure of all materials involving the diamond phase [9], and it can even be grown at very low temperatures (near room temperature) by pulse laser deposition [10] or ion beam sputter-deposition [11]. MCD to UNCD and DLC films exhibit a number of excellent properties far superior to those of Si material and other materials being used for MEMS and NEMS devices. However, even among the PCD films, there are substantial differences in properties relevant for MEMS and NEMS devices. For example, the COF of MCD is in the range of 0.5–0.3, NCD in the range 0.2–0.3, DLC ~ 0.2, while UNCD exhibits the lowest COF (0.02–0.05) of all PCD materials described above (see review in ref. [4]). All PCD offer high resistance to wear [4]. In addition, two key mechanical properties of diamond and DLC films, particularly superior than for any other materials for MEMS/NEMS devices, containing components with sliding interfaces, are very high Young’s modulus and tensile and fracture strength, which make diamond and DLC films particularly suitable for high frequency MEMS/NEMS devices. Diamond and DLC films are also chemically inert against common environmental conditions and are stable in air up to 600 °C, which make them attractive materials for operation at high temperatures above 300 °C, where conventional devices cannot operate. MCD has fairly high thermal conductivity (~ 1990 W/K m [4]), which is close to the thermal conductivity of SCD (~ 2100 W/K m), the highest of any material both in the direction perpendicular and parallel to the surface of the material [4]. On the contrary, UNCD has a low thermal conductivity, due to the large grain boundary network [4], which makes it an ideal thermal insulation layer. All PCD films exhibit low thermal expansion coefficient, making them suitable for implementation in integrated portable lab on micro-electronic devices, packages or supporting material with high heat dissipation suitable for MEMS thermal actuators with extensive mechanical motion. Diamond and DLC films are the best biocompatible materials because they are made of C atoms (element of life in the human DNA, cells, and molecules), and they are mechanically strong and tribologically efficient, making them the best coatings for implantable medical devices. The surface of diamond and DLC films can be modified to facilitate attachment of specific biological species, providing the bases for a new generation of superior biosensors. Diamond and DLC are also excellent materials for field emission display which is brighter and faster than LCD and will become the ultimate display in the future. DLC is the only material that is fully thermionic, i.e. it can convert heat into electricity, which makes DLC potentially the best solar cell material with projected energy conversion efficiency over 50% [9]. It is worth mentioning that the composite of UNCD and carbon nanotube (CNT) is also a promising material for future thermoelectrical material. Furthermore, diamond also provides a high breakdown voltage for a high-power generation and a low dielectric constant for a better isolation and coupling characteristics. Based on the information presented above, MEMS/NEMS devices based on diamond or DLC films would provide straightforward integration with diamond electronics in a unified support platform. In addition, diamond film-based MEMS/NEMS devices will have the added advantage of efficient high thermal controlling properties, relevant for high temperature performing MEMS/NEMS devices.

History of chemical vapor deposition (CVD) of diamond

Natural diamond gems were first inserted as royal jewels in India, while the Romans used them to engrave sapphires. Diamond was identified as a Carbon material in 1796 but was not synthesized out of nature until 1954. In the late 1960s, two separate groups, one from the Soviet Union led by Boris Deryagin (1970) and the other from the United States headed by John Angus (1968), independently showed that diamond films could be grown on surfaces of materials seeded with MCD particles. MCD films were grown by chemical vapor deposition at low pressures from a vapor containing hydrocarbons (CH4) and hydrocarbon (CH4)-hydrogen (H) gases mixtures [12, 13]. Angus and co-works were the first to report the critical role of atomic hydrogen (H) in achieving metastable diamond film growth, such that H atoms were identified as a preferential etchant for removing a graphite impurity face against the diamond face. due to H atoms more efficient chemical reaction with sp2 open bonds of C atoms in graphite. On the other hand, Deryagin and Fedoseev demonstrated the growth of diamond films on non-diamond substrates made of material such as metals and silicon, which provided the pathway for intensive research to develop processes to produce low cost diamond coatings in the 1980s. The key gases used to grow the MCD and NCD films have been CH4 and H2, generating CHx+ and H (x = 1, 2, 3) and H* and H species via cracking on the surface metal (mainly tungsten (W) filaments heated to ~ 2200 °C, via electric current, or cracked by microwave power applied to the gas mixture, producing a plasma (mixture of ionized (H+) and neutral (Ho) and ionized molecules CHx+ (x = 1, 2, 3) and neutrals CHxo, to induce carbon (C) atoms mobility on the substrates’ surface, so C atoms bond to each other with the sp3 diamond bond, while simultaneously H+ ions and Ho atoms etch the graphitic phase that grows as an impurity phase. The grown films were mainly formed by randomly oriented MCD grains. The problem related to MCD films is that the surface is very rough (≥ 1-micron rms roughness), which is not appropriate for most MEMS/NEMS devices involving parts sliding upon each other.
The next significant step in the development of diamond films grown by the CVD process took place in Japan. A team led by Nobuo Setaka at the National Institute for Research in Inorganic Materials (NIRIM), Tsukuba, developed methods for the rapid growth of diamond films at low pressures. This work started in 1974 and by 1981, the NIRIM group had published a large number of scientific papers documenting their success in growing diamond films at rates of up to 1 μm per hour [14]. The stunning achievements of the Japanese researchers rekindled commercial interest in CVD diamond films, particularly in the USA, where, by the end of the 1980s more than 30 companies were investigating the possibilities of this new diamond material and how it could be applied to their business.
UNCD films, discovered in the early 1990s at Argonne National Laboratory, exhibit specific superior properties over traditional MCD and NCD films. The history of the discovery and characterization is much less well known and described in detail in [4, 15]. Along with the development of the CVD diamond deposition technique, DLC has also been relatively developed for low temperature coating which offers low friction and wear properties relevant to sliding, rolling or rotating parts in MEMS/NEMS devices. The first report of DLC films was by Aisenberg and Chabot [16] in 1971, followed by a series of reports by Holland [17] and Weissmantel [18, 19]. Details of wok on DLC films will not be discussed in this paper.

Material properties

Diamond properties

Diamond has emerged as a transformational material to enable a new generation of MEMS?NEMS devices, due to its excellent complementary mechanical, tribological, chemical, physical, and electronic properties. Diamond is a carbon allotrope with the highest density of any carbon-based material featuring a cubic crystal structure involving two interpenetrating face-centered cubic (FCC) lattices, separated from each other by 1/4 of a lattice diagonal. Each C atom is tetrahedrally coordinated, via strong, covalent bonds (called sp3 bonds) to four neighbor C atoms [4, 13, 14], as shown in Fig. 1. The covalent bonds between carbon atoms in diamond is called covalent sp3-bonding. The bond length and lattice constant are 1.54 and 3.56 angstroms, respectively [20].
The outstanding multifunctional properties of diamond stem from the super strong/tight sp3 bonds. The large activation barrier between the sp2 and sp3 bonding states induce the stable diamond structure, although the most thermodynamically stable microstructure for carbon is graphite. In addition, diamond exhibits a superior wide bandgap, making it an outstanding semiconductor for electronic and optical devices, such as ultraviolet light emitting diodes, cold cathode electron emitters, and high-power and high-frequency devices.
Diamond's well-known properties include the highest hardness compared to any other material, the highest thermal conductivity both perpendicular and parallel to the surface of the solid, and optical transparency, in pure form. In its natural or undoped form, diamond is an excellent electrical insulator with a bandgap of 5.45 eV, as shown in fig. 2 [2123]. Conduction band minima occur along <100> direction at nearly 0.7 of the distance to the edge of the Brillouin’s zone. The valence band maximum occurs at the center of the Brillouin’s zone, Γ. Thus, the minimum bandgap in diamond is indirect. When doped with boron (B) atoms, diamond exhibits semiconducting or even semi-metallic electrical properties. The properties of natural diamond, compared with other semiconductor materials explored for MEMS/NEMS devices, are summarized in Table 1
Table 1
Comparison of diamond properties with other semiconductors [23]
Properties
Si
GaAs
SiC
Natural Diamond
Density (g/cm3)
2.329
5.317
3.216
3.52
Melting point (°C)
1412
1240
2540
3827
Hardness (GPa)
8.5
7
24.8
100
Young’s modulus (GPa)
130–180
85
700
1050–1200
Poisson’s ratio
0.22–0.24
0.31–0.32
 
0.1–0.21
Lattice constant (Å)
5.43
5.65
4.36
3.57
Band Gap (eV)
1.12
1.42
3.0
5.45
Carrier mobility Electron (cm2/V·s)
1450
8500
400
1800–2000
Hole (cm2/V·s)
500
400
50
1600–2100
Dielectric constant
11.7
10.9
10
5.7
Breakdown voltage (× 106 V/cm)
0.37–0.5
0.6
2–3
4–20
Intrinsic resistivity (Ω·cm)
1 × 103
1 × 108
 
1 × 1016
Thermal conductivity (W/cm·K)
1.5
0.5
5
20
Thermal expansion coef. (× 10–6/°C)
2.6
5.9
4.7
1.1

Different forms of diamond

There are several types of diamonds for different applications, including natural diamond, synthetic industrial diamond, SCD and polycrystalline diamond (PCD) and diamond-like carbon (DLC) films, with films produced by chemical vapor deposition (CVD) and physical vapor deposition (PVD) methods. Natural diamond has been classified historically by the nature and concentration of impurities. Almost all diamond, natural or synthetic, always contains impurities such as N or B atoms. Natural diamonds are classified by types Ia, Ib, IIa and IIb, based on the quantity of impurities found within them, as shown in Table 2 [24]. Ia is the most common type of natural diamond, with up to 0.3% of N atoms. All other three types are very few in nature.
Table 2
Diamond classification according to the amount of lattice impurity [24]
Diamond Type
Nitrogen (ppm)
Boron (ppm)
Color
Ia
2000
Clear to yellow
Ib
100–1000
Green, brown, yellow
Ib
1–100
Yellow
IIa
 ~ 1
Colorless clear
IIb
 ~ 1
 ~ 100
Blue
Artificial diamonds are produced by a High Pressure/High Temperature (HPHT) process, whereby graphite and a metallic catalyst are inserted in a hydraulic press and subjected to a combined high temperature/pressures process. Graphite converts into diamond in a few hours. Most industrial diamonds are type Ib, containing up to 5 ppm of N atoms. Both natural diamond and synthetic diamond are SCD. Considering the high cost, HPHT synthetic condition and difficulty of processing, these two types of diamonds are of very limited use for fabrication of MEMS devices. Instead, PCD films, produced mainly by the CVD process, can provide remarkable properties for high-performance MEMS/NEMS devices, with relatively low fabrication cost.
Three types of PCD thin films, featuring different microstructure, surface morphology, and properties, have been produced, and their properties characterized in systematic studies. Standard processes to grow PCD films, include microwave plasma chemical vapor deposition (MPCVD), hot filament chemical vapor deposition (HFCVD) or any other CVD methods, The processes described above involve flowing hydrogen-rich gas mixtures (CH4/H2 = 0.1–4%) [2528, 2932], into the MPCVD, HFCVD, or other CVD systems, and coupling the power to produce plasmas, which result in the growth of microcrystalline diamond (MCD) (typically 1–10 μm grains) with columnar structures as shown in Fig. 3a, and nanocrystalline diamond (NCD) (typically 50–100 nm grains), as shown in Fig. 3b [318]. NCD films are defined by their grain sizes (10–100 s of nm) and relatively high nucleation density, as demonstrated by Philip et al. [28], Sekaric et al. [29], and Fuentes et al. [318], and they do not exhibit cauliflower type structures characteristics of films grown with high CH4 levels or with reduce atomic hydrogen to increase re-nucleation rate [30, 31]. The surfaces of MCD and NCD films generally get rougher, with highly faceted topography, typically ~ 10% of the film thickness, as the film thickness increases (Figs. 3b, c). In contrast to the growth process for MCD and NCD films, the UNCD film growth uses an argon (Ar) gas-rich chemistry (Ar (99%)/CH4 (1%)), and no H2 [2, 4]. As a result of the unique patented Ar gas-based gas chemistry, UNCD films provide a fundamentally different nanostructured PCD with grain sizes in the range 3–5 nm, the smallest diamond grain sizes compared to any MCD and NCD films. Figure 3d shows an SEM image of agglomerated UNCD grains, which can be seen only by HRTEM as shown in Figs. 3d, 33a,b of this review. In addition, UNCD films of any thickness exhibit roughness in the range 3–5 nm due to the high re-nucleation rate resulting from the unique Ar/CH4 plasma chemistry [4, 32, 33], whereas NCD films turn into conventional MCD films after a certain thickness, due to grain size growth as a function of proportional film thickness increase.
In addition to PCD films, DLC films are also used for fabrication of MEMS devices. DLC films exhibit metastable amorphous carbon structure, involving a microcrystalline phase of diamond. AFM images of DLC films grown at different temperatures on glass are shown in Figs. 3e,f [34, 35]. DLC films involve sp2 and sp3 carbon bonds and are generally smooth and grown at low temperatures. Many DLC films are grown using a plasma-enhanced CVD (PECVD) process, ion beam sputter-deposition, or laser ablation of graphite solid target [3], all of them involving different gases mixtures. Some DLC films do not have hydrogen in their solid form (a-C or ta-C), while other DLC films have H atoms inserted in their structure (a-C:H or ta-C:H). Both a-C and a-C:H DLC-based films exhibit high sp2/ sp3 C atoms bonds ratio. However, DLC films may exhibit high fraction of sp3 bonding, when grown using enhanced plasma density sputtering or CVD processes. In order to distinguish them from sp2 bonded DLC films, McKenzie suggested to name preferential sp3 bonded DLC films as tetrahedral amorphous carbon (ta-C) films [34] (see also [35]), while the ta-C:H -based DLC films were distinguished as hydrogenated tetrahedral amorphous carbon by Weiler et al. [36].
Raman spectroscopy is one of the main characterization tools used to determine in a rapid analysis the diamond film characteristic as MCD, NCD or UNCD, as shown in Fig. 4. SCD and MCD films show a sharp, narrow/high intensity peak at 1332 cm−1 in the Raman spectrum, with a very small Full Width at Half Maximum (FWHM) value as shown in Fig. 4a [37]. The D and G bands characteristic of polycrystalline graphite at around 1345 and 1560 cm−1, and a low intensity band centered approximately at 1470 cm−1 correlates with a tetrahedrally bonded diamond precursor [38]. The Raman spectrum of NCD, UNCD and DLC films are shown in Figs. 4b–d, respectively [39]. MCD has the highest diamond-type quality among PCD films, indicated by the high intensity of the sp3 peak at 1332 cm−1 and amorphous-like DLC film has the lowest diamond-like quality as indicated by the almost invisible sp3 peak.
Table 3 shows the basic properties of various amorphous and crystalline forms of carbon provided in references [2, 36, 3943].
Table 3
Properties of various forms of carbon
 
Density (g/cm−3)
Hardness (Gpa)
Young’s modulus (GPa)
sp3 (%)
H (at%)
Gap (eV)
Surface roughness
 
SCD
3.52
100
1050–1200
100
 < 0.1
5.45
  
MCD (grain size ~ 0.5–10 μm)
3.52
70–100
800–1200
 ~ 100
 < 1
5.45
400 nm – 1 μm
 
NCD (grain size 50–100 nm)
 
30–75
800–1020
 > 50
 < 1
2–4.7
50–100 nm
 
UNCD (grain size ~ 3–5 nm)
3.50
88–98
916–980
95–98
 < 1
5.4–5.65
20–40 nm
 
DLC
ta-C (evaporated)
 ~ 3.00
2–5
757
  
3–3.5
5–100 nm
 
ta-C (MSIB)
3.0
30–130
 
90 ± 5
 < 9
0.51.5
5–100 nm
 
ta-C:H
2.9
61
 
75
22–28
  
 
a-C:H (hard)
1.6–2.2
10–20
300
30–60
10–40
0.8–1.7
1–30 nm
 
a-C:H (soft)
0.9–1.6
 < 5
300
50–80
40–65
1.6–4
1–30 nm
Graphite
2.267
1–2
9.2–13
0
 
-0.04
  
Glassy Carbon
1.3–1.55
2–3
35
 ~ 0
 
0.01
  

Electrical conductivity of PCD

Surface electrical conduction

Undoped, high-quality diamond is one of the best insulator materials. However, diamond with H atoms-terminated surface exhibits relatively high conductivity in air. The conductance found in the experiments is summarized in Fig. 5 [44, 45].
The electrical conductivity of H atoms-terminated diamond surface is induced by positive-charged carriers (holes) concentrated in a narrow surface region. Several proposed explanations are not widely accepted yet. The surface transfer doping mechanism proposed by Maier et al. [44] and Ristein, et al. [45], and Behravan [46] is in general agreement with experimental measurements of electrical conductivity on diamond surfaces. Figure 6 shows schematics of the basic concept of the surface transfer doping mechanism: Exposing a hydro-germinated surface to normal ambient air, a thin layer of adsorbed water—about 1 nm thick—forms at the hydrogen-terminated surface. Assuming that this adsorbed water layer is neutral, i.e. in case it does not contain any acid- or base-forming impurities, small and equal densities of H3O+ and OH ions are formed:
$$ {\text{2H}}_{{2}} {\text{O}} \leftrightarrow {\text{H}}_{{3}} {\text{O}}^{ + } {\text{ + OH}}^{ - } $$
(1)
Transferring valence electrons from the diamond valence band to the dissolved H3O+ ions, a thin sheet of holes below the diamond surface and a layer of compensating negative OH ions in the adsorbed surface electrolyte are formed. A much higher surface conductivity arises, in case acid-forming molecules become dissolved in the electrolyte layer. The acid surface electrolyte layers may arise from the dissolution of atmospheric CO2:
$$ {\text{CO}}_{{2}} {\text{ + 2H}}_{{2}} {\text{O}} \leftrightarrow {\text{H}}_{{2}} {\text{CO}}_{{3}} {\text{ + H}}_{{2}} {\text{O}} \leftrightarrow {\text{H}}_{{3}} {\text{O}}^{ + } {\text{ + HCO}}_{{3}}^{ - } $$
(2)
In this case, a much higher sub-surface hole density is expected and the \({\text{HCO}}_{{3}}^{ - }\) ions in the electrolyte now take over the role of the anions. This is illustrated in Fig. 6a. Water vapor from the atmosphere reacts chemically with the diamond surface resulting in a catalysis process, whereby electrons are extracted from the diamond surface and inserted to a HCO3 adsorbate on the diamond surface, contributing to electrical conductivity. The formation of holes on the diamond surface sketched in Fig. 6b. The electrochemical potential (Fermi energy) μe of the adsorbed electrolyte layer on the diamond surface is fixed by a redox level. Due to the surface of an adsorbate layer getting in contact with the diamond surface, electrons transfer from the adsorbed surface layer on to the diamond surface, resulting in the transition of the Fermi energy level of the solid to equal the Fermi energy level of the ambient film, similar to the case of a metal–semiconductor contact, with the difference that the adsorbed surface layer on the diamond surface replaces the metal electrode layer on the semiconductor. The accumulating holes (resulted from the electron transfer from the valence band) in the diamond near the surface and negative charges of \({\text{HCO}}_{{3}}^{ - }\) on the diamond surface result in band bending near the surface and thus a finite surface conductivity.
The maximum of the hydrogen-terminated diamond valence band coincides with one of the redox levels in the electrolyte layer. H3O+ ions therefore can become neutralized by the transfer of valence electrons to the liquid electrolyte. As a consequence, a high hole density is able to build up at the diamond surface. If the diamond surface is oxygen-terminated, huge thermal activation energy is required for the transfer doping. A p-type surface electrical conduction, therefore, does not arise on oxygen-terminated diamond.
The surface conductivity can be removed or changed by various surface treatments [33]: chemical cleaning of the surface with acids such as HF, HNO3, HCl, H2SO4, CrO3 and HClO4, electrochemical cleaning, oxygen annealing, heating in ultra-high vacuum at 950 °C, heating in air 700 °C, oxygen plasma cleaning, N2-Ar plasma and He-O2 plasma.

Electrical conductivity of bulk diamond

The electrical resistivity of natural diamond is approximately 1014–1016 Ω·cm [4750]. Owing to its high electrical resistivity, diamond is a good candidate for insulating layer material in MEMS/NEMS device. However, producing SCD on large area Si wafers, which may be required to produce low cost diamond-based MEMS/NEMS devices, may require substantial new R&D, involving several years in the future. Therefore, an alternative, for a more immediate implementation of diamond-based MEMS/NEMS devices, is to develop processes for growing PCD films on large area Si wafers. PCD films grown by CVD processes can be micromachined to fabricate MEMS/NEMS devices. After appropriate surface treatment is done to remove the PCD film’s surface electrical conductivity as described in Sect. 3.3.1, sometimes the as grown undoped PCD films show a resistivity of a few orders of magnitude lower than that in natural diamonds. The electrical conduction mechanism in undoped PCD films has been extensively investigated [5164]. Two main mechanisms have been proposed for diamond films, namely field-activated (FA) emission [52, 54] and space-charge-limited (SCL) transport [53]. The former contribution can be represented by the Frenkel–Poole equation [58].
$$ G\left( V \right) = G_{0} \exp \left( {\alpha V} \right) $$
(3)
with
$$ \alpha = {q \mathord{\left/ {\vphantom {q {2kTdN_{t}^{{{1 \mathord{\left/ {\vphantom {1 3}} \right. \kern-\nulldelimiterspace} 3}}} }}} \right. \kern-\nulldelimiterspace} {2kTdN_{t}^{{{1 \mathord{\left/ {\vphantom {1 3}} \right. \kern-\nulldelimiterspace} 3}}} }} $$
(4)
G(V) is the electrical conductance of diamond film, controlled by the drop of electrical potential across the diamond layer. Nt is the number of traps per unit volume in the diamond layer, such that the coulombic potentials of traps overlap, and d is the diamond thickness; T is the absolute temperature and k is the Boltzmann constant. Research demonstrated that FA transport is dominant in diamond films containing large number of defects per unit volume, whereas diamond layers with large grains exhibit quality and performance of SCD. The high field conductance associated with SCL current can be represented by Eq. 5 below [58].
$$ G\left( V \right) = c_{1} V^{l} $$
(5)
where c1 is an experimentally determined coefficient and l is an exponential in the range 0.5–3.5 [52]. A typical Current–Voltage (I–V) measurement on undoped PCD films, with dual side contact, made to the top and bottom surfaces of the diamond film, is shown in Fig. 7 [52]. For low voltages (< 10 V), I–V is linear and symmetric for both gold and indium contact layers. At high voltages, the current increases more rapidly than linearly. The nonlinear behavior at high voltages was explained by FA emission.
The FA emission modeling above considers the electrical conduction of highly defective grain boundary regions and grains at the same time as a whole. A more complete model proposed by Cesare et al. [58] separate the electrical conduction of grain boundaries from the grains. Electrical conduction through grains is assumed to follow the FA emission modeling, but grain boundary regions don’t. The modeling involved also consideration of a rectification process between the metal electrode layer (contact) and the bulk of the diamond film, instead of using the ohmic contact concept, because contacts with rectification usually happens on undoped diamond. The model considers two conductance phenomena, G and g, in parallel, characteristic of a combination of bulk crystal and highly defective grain boundaries, respectively, The model indicates that an area with a diode D characteristic exist in series with an area with electrical conductance G, representing a rectifying contact between at the metal electrode/diamond interface and the bulk region of the diamond layer. The model is based also on the assumption that an ohmic contact exists between the metal electrode and the highly defect grain boundaries of the diamond layer, all represented by Eqs. (6) and (7)
$$ I = G\left( {V_{G} } \right)V_{G} + g_{0} V_{a} $$
(6)
$$ G\left( {V_{G} } \right)V_{G} = I_{0} \left\{ {\exp \left[ {{{q\left( {V_{a} - V_{G} } \right)} \mathord{\left/ {\vphantom {{q\left( {V_{a} - V_{G} } \right)} {nkT}}} \right. \kern-\nulldelimiterspace} {nkT}}} \right] - 1} \right\} $$
(7)
I is the total current when a voltage Va is applied. VG is the potential drop across the conductance G, n and I0 are the quality factor and reverse saturation current of the diode, respectively, and q is the electron charge. The electrical conductance of grain boundaries is assumed to be a constant g0. Conductance of grains G(VG) can be expressed using the FA emission model given by Eqs. (3) and (4). This electrical model can reproduce the experimental JV curves with adequate accuracy, as shown in Fig. 8.
The electrical conduction of undoped PCD can be attributed to the impurities or traps in diamond grains and grain boundaries. After comparing the quality of diamond grown under different CH4/H2, revealed by SEM and Raman analysis, Kulkarni et al. attributed the low resistivity on the order of 10–106 Ω cm to the grain boundaries structure [56, 57]. Lee produced Cu electrode layers, via electroplating, on diamond film surfaces, showing that the main electrical conduction path in undoped PCD films are the grain boundaries within the films [61]. The electrical conduction mechanism, due to impurities [62, 63], had also been investigated by examining the relationship between the material’s temperature and the electrical current through the diamond film. The activation energy can be calculated by using the Eq. (8)
$$ \sigma = \sigma_{0} \exp \left( { - {{E_{a} } \mathord{\left/ {\vphantom {{E_{a} } {kT}}} \right. \kern-\nulldelimiterspace} {kT}}} \right) $$
(8)
where σ0 is a pre-exponential constant and Ea is the donor/acceptor activation energy, which is related to the transition of electrical carriers from the trap to the conduction/valence band levels, respectively. Thus, the type of impurities will be known once the activation energy is derived. Usually, the presence of dopant B atoms in the diamond lattice correlate with electrical activation energy in the range 0.3–0.4 eV. On the other hand, impurity N atoms in the diamond lattice, correlate with activation energies in the range1.4–1.6 eV. The electrical conductivity vs 1/T (temperature), shown in Fig. 9 [55], suggests that the electrically active diamond induced by B and N atoms incorporation into the diamond lattice is correlated with the film grown along (111) and (100) directions, respectively. Wang, et al.[60] also reported activation energy of 1.68 eV, for electrical conduction, attributed to Si atoms trapped at a vacancy in the diamond lattice. He speculated that the possible source of B atoms contamination in their diamond films could arise from the Si wafer, heavily doped with B atoms. Stoner et al. found that H atoms trapped in the film can also be an origin for electrical conduction of undoped PCD films with activation energies less than 0.6 eV [64], although they didn’t remove the surface conduction layer, making their experimental results still intriguing. Stoner et al. reported that the initial room temperature electrical conductivity can change by 5 orders of magnitude, even though the relative graphite, or non-diamond structured, revealed by SEM, Raman and photoluminescence analyses, is nearly identical. When the temperature is > 500 ˚K, the activation energy as approximately 1.1 eV. It was speculated that this transition, above 500 ˚K, occurs because the H atoms in the lattice becomes mobile and then no longer occupies the various trapping sites. The real cause for low resistivity of undoped PCD films still needs further study.

Piezoresistivity of PCD films

The piezo-resistive effect was first discovered in 1856 by Lord Kelvin using copper and iron wires. The discovery of piezo-resistance in Si and Ge materials at Bell Laboratories in 1954 by Smith [65], is considered one of the milestones that fueled the growth of the MEMS industry. Smith found that the semiconductors exhibit piezo-resistance coefficients much higher than those of metals, which stimulated increased interest in the investigation of the effects of stress on other semiconductors and in the application of this effect to MEMS sensors.
Piezo-resistance is a change in resistivity of the material under the influence of an externally applied stress or strain. The piezo-resistivity of a material is related to the change of electrical resistivity as strain is applied, and is quantified by the gauge factor (GF). The GF of a material is defined as the fractional change of resistance (ΔR/R) per unit strain ε, which is the figure of merit for piezo-resistive material, expressed mathematically by Eq. 9 below.
$$ {\text{GF}} = (\Delta R/R)/\varepsilon $$
(9)
Typical GFs for various materials in the longitudinal direction are shown in Table 4 [66]. The reported GFs of PCD, in the literature, are given in Table 5 and they were found to increase from 5 to 100, with increase in resistivity, grain size and operation temperature.
Table 4
Gauge factors for various materials in the longitudinal direction [66]
Material
Description
Gauge Factor (GF)
Metals
Composition
 
Nickle/Copper
45% Ni, 55% Cu
2.0–2.1
Copper
100%
2.6
Silver
100%
2.9
Platinum/Tungsten
92% Pt, 8% W
4.0
Platinum
100%
6.1
Nickel
100%
–12.1
Material
Description
Gauge Factor (GF)
Semiconductors
Types
 
Single Crystal Silicon
p-type
100–175
 
n-type
–133
Poly-Silicon
p-type
15–30
 
n-type
–30
Germanium
p-type
48.7–101.5
 
n-type
 − 147 to − 157
Poly-Germanium
p-type
30
 
n-type
 − 30 to − 40
Silicon Carbide
n-type
 − 55 to − 994
Table 5
Gauge factor of PCD reported by different groups
GF
ρ (Ω·cm)
Boron Concentration (cm−3)
Substrate
Deposition
Doping
References
6
 
1.2 × 1016
Diamond/SiO2
HFCVD
 
[203]
5.4
4
 
Undoped carbon
MPCVD
BCl3
[102]
116
 
2.5 × 1018
Si
DC plasma CVD
B2O3 powder
[206]
6–25
5–30
 
SiO2
HFCVD
boron powder
[67]
8
0.2
 
Si
MPCVD
Boron compound solid wafer
[219]
10
2.2
 
Si
MPCVD
Boron compound solid wafer
[219]
67
225
 
Si
MPCVD
Boron compound solid wafer
[219]
100
300
 
Si
MPCVD
Boron compound solid wafer
[219]
1000
300
 
Undoped PCD
MPCVD
B2H6
[208] [209]
7–9
0.1
 
Si
MPCVD
B(CH3)3 in ethanol
[210]
70–75
100
 
Si
MPCVD
B(CH3)3 in ethanol
[210]
0.1
1000
 
Si
MPCVD
B(CH3)3 in ethanol
[210]
30–35
106
 
Si
MPCVD
B(CH3)3 in ethanol
[210]
690
  
Si
MPCVD
B(CH3)3 in ethanol
[211]
283*
0.27
 
Undoped PCD
MPCVD
boron powder
[212]
4000**
0.1
     
6.7/8.9*
 
 ~ 1020
Undoped PCD
MPCVD
 
[213]
25**
 
 ~ 1020
Undoped PCD
MPCVD
 
[213]
33
3.15
 
SiO2
HFCVD
H3BO3 in methanol and acetone
[215]
50
2.65
 
SiO2
HFCVD
H3BO3 in methanol and acetone
[215]
40
28
 
SiO2
MPCVD
B(CH3)3
[72]
8 ± 0.5
0.01
 
SiO2
MPCVD
B(CH3)3
[217]
*inter-grain piezo-resistor; *intragrain piezo-resistor
The piezo-resistive property of a semiconductor such as doped diamond, under uniaxial stress, can be explained by the valence-bands split-off model, as shown in Fig. 10.
The strain will change the concentration of heavy and light holes, so the strain can change the electrical conductivity of the p-type semiconductor. The GF for single crystalline doped diamond is given by the formula 10 below [68]
$$ \begin{aligned} GF & = - \frac{1}{\varepsilon }\frac{{A + E_{e} C}}{{kT + A + E_{e} C}} \approx \frac{1}{\varepsilon }\frac{{A + E_{e} C}}{kT} \\ C & = {{\left( {m_{l}^{{{1 \mathord{\left/ {\vphantom {1 2}} \right. \kern-\nulldelimiterspace} 2}}} - m_{h}^{{{1 \mathord{\left/ {\vphantom {1 2}} \right. \kern-\nulldelimiterspace} 2}}} } \right)} \mathord{\left/ {\vphantom {{\left( {m_{l}^{{{1 \mathord{\left/ {\vphantom {1 2}} \right. \kern-\nulldelimiterspace} 2}}} - m_{h}^{{{1 \mathord{\left/ {\vphantom {1 2}} \right. \kern-\nulldelimiterspace} 2}}} } \right)} {\left( {m_{l}^{{{1 \mathord{\left/ {\vphantom {1 2}} \right. \kern-\nulldelimiterspace} 2}}} + m_{h}^{{{1 \mathord{\left/ {\vphantom {1 2}} \right. \kern-\nulldelimiterspace} 2}}} } \right)}}} \right. \kern-\nulldelimiterspace} {\left( {m_{l}^{{{1 \mathord{\left/ {\vphantom {1 2}} \right. \kern-\nulldelimiterspace} 2}}} + m_{h}^{{{1 \mathord{\left/ {\vphantom {1 2}} \right. \kern-\nulldelimiterspace} 2}}} } \right)}}{\text{ tensile}}\;{\text{strain}} \\ C & = {{\left( {m_{h}^{{{1 \mathord{\left/ {\vphantom {1 2}} \right. \kern-\nulldelimiterspace} 2}}} - m_{l}^{{{1 \mathord{\left/ {\vphantom {1 2}} \right. \kern-\nulldelimiterspace} 2}}} } \right)} \mathord{\left/ {\vphantom {{\left( {m_{h}^{{{1 \mathord{\left/ {\vphantom {1 2}} \right. \kern-\nulldelimiterspace} 2}}} - m_{l}^{{{1 \mathord{\left/ {\vphantom {1 2}} \right. \kern-\nulldelimiterspace} 2}}} } \right)} {\left( {m_{l}^{{{1 \mathord{\left/ {\vphantom {1 2}} \right. \kern-\nulldelimiterspace} 2}}} + m_{h}^{{{1 \mathord{\left/ {\vphantom {1 2}} \right. \kern-\nulldelimiterspace} 2}}} } \right){\text{ compressive}}\;{\text{strain}}}}} \right. \kern-\nulldelimiterspace} {\left( {m_{l}^{{{1 \mathord{\left/ {\vphantom {1 2}} \right. \kern-\nulldelimiterspace} 2}}} + m_{h}^{{{1 \mathord{\left/ {\vphantom {1 2}} \right. \kern-\nulldelimiterspace} 2}}} } \right){\text{ compressive}}\;{\text{strain}}}} \\ \end{aligned} $$
(10)
where A and Ee are labeled in Fig. 10. The \(m_{h}\) and \(m_{l}\) coefficxients are the effective mass of heavy- and light band holes. The suffix ‘h’ and ‘l’ represent heavy and light holes, respectively. C represents the relative difference between the effective mass of heavy and light holes, in other words, they also represent the relative difference between the effective mobility of heavy and light holes. For diamond, C = − 0.89 under tensile strain and C = 0.89 under compressive strain. k is the Boltzmann constant, T (K) is the absolute temperature. The great difference of the effective mass between the heavy and light holes in diamond is one of the main factors causing the excellent piezo-resistive effect of diamond [68], as indicated by Eq. (10). Since GF is positive under tensile, it indicates the resistivity increase under tensile stress. Likewise, the resistivity decreases under compressive stress due to the negative value of GF.
Generally, polycrystalline materials are formed by crystalline grains interconnected by grain boundaries. In the case of diamond, grains are made of single crystals with the diamond lattice. The grain boundaries (GBs) involve C atoms in a disordered structure with a large number of defects and dangling bonds, acting as trapping states and/or segregation sites [69], for trapping of holes, creating an electric potential barrier at the boundary and depletion regions into the grains. The diamond film may be modeled in terms of grains of length \(L_{g}\) with boundary width \(\delta\) and depletion width \(w_{d}\) [70]. The change in resistivity per unit strain of the polycrystalline materials can be calculated using Eq. 11 [71]:
$$ \frac{\Delta \rho }{\rho }\frac{1}{\varepsilon } = \frac{{L_{g} - (2w_{d} + \delta )}}{{L_{g} }}\frac{{\Delta \rho_{g} }}{{\rho_{g} }}\frac{1}{\varepsilon } + \frac{{(2w_{d} + \delta )}}{{L_{g} }}\frac{{\Delta \rho_{b} }}{{\rho_{b} }}\frac{1}{\varepsilon } $$
(11)
where \(\rho_{g}\) and \(\rho_{b}\) are the resistivity of grain and grain boundary, respectively. Research suggests that the piezo-resistive effect indued by the GBs is much lower than the one induced by the crystalline grains. The random orientations of the GBs also lower the overall piezo-resistive effect of grains, which correlates with the reported low GF of polycrystalline materials compared to single crystal counterparts. The effect of GBs on the gauge factor was investigated theoretically [68] and described by the Eq. 12 below, assuming there is no gauge factor for GBs:
$$ GF \approx \left( {\frac{1}{1 + \alpha }} \right)GF_{g} $$
(12)
where \(\alpha\) is the GBs / grains resistances ratio through the electrical conduction path when the film exhibits no strain, and GFg is the gauge factor of single crystalline material. The calculations using Eq. 12 explains why polycrystalline films, with high resistivity, exhibit larger GF. A more explicit equation of GF for a polycrystalline material is given by Eq. 13 [68].
$$ GF = \left( {M - F} \right)GF_{g} + F\left( {D - C} \right)\frac{1}{kT}\frac{{dE_{e} }}{d\varepsilon } $$
(13)
D and C constants relating to the difference of the effective mass of holes. For diamond films, D has values of − 1 and 1 under tensile and compressive stress, respectively. M and F are two constants related to scattering of electronic carriers in grain-boundaries. For a very small strain (~ 10–6), M is equal to 1 and 0 < F < 1. The last term on the right of Eq. (13) is negative, which indicates that GF is smaller than GFg, correlating with the observation that the GF of polycrystalline materials is smaller than that of single crystalline materials. Equation (13) also indicates that if there is an excellent piezo-resistive effect in the crystalline materials, a more significant piezo-resistive effect will exist in the corresponding polycrystalline material, which support the concept that PCD is a good candidate for the application of MEMS piezo-resistive sensors.

Polycrystalline diamond (PCD) film technology

For the implementation of diamond as a key material enabling a new generation of MEMS/NEMS devices, successful growth of PCD films, doping, patterning and metallization processes must be achieved.

Chemical vapor deposition of PCD films

CVD diamond films have been grown on substrates of different materials. Monocrystalline diamond substrates induce homoepitaxial crystalline films, whereas non-diamond and PCD substrates result in the growth of PCD films. For non-diamond substrates, a nucleation procedure is necessary to produce the diamond precursors, namely: (1) surface abrasion with diamond particles to insert them on the substrate’s surface [7274], (2) sonication of diamond powder loaded solution [75], (3) bias enhanced nucleation (BEN) [7678],where C = ions and CHx= (x = 1, 2, 3) from a plasma, created in front of the substrate surface, are accelerated, with very low energy, towards the surface, getting implanted on the surface and inducing the seeding process, (4) spinning of diamond-powder-loaded photoresist (DPR) [79], and (5) spraying of diamond-loaded fluids [80, 81] on the substrate surface. All substrate’s surfaces seeding processes, described above, are applied to grown diamond films by different methods, as summarized in Table 6 [82]. Most of the previous seeding methods, described above, focused on seeding of bare Si wafer’s surface or a tungsten surface and very few have reported diamond seeding on a dielectric surface. For the electrical application in microsystem, it is common to insulate a conductive film using dielectric layers (SiO2 or Si3N4) and make connection through contact holes or vias. Thus, having the ability to achieve nondestructive, controllable, uniform and high-density diamond seeding on dielectric layer is an important step for PCD film-based MEMS sensors.
Table 6
Comparison of different seeding methods on seeding density, substrate material and surface morphology [83]
Seeding Method
Sonication/abrasion
Ben
DPR
Spray/paint
DPL with spin
Seeding Density (cm−2)
Up to 1010
Up to 1011
 ~ 108
Up to 1011
108–1011
Substrate Selectivity
Most dielectric & metal
Conductive Si or Metal
Most dielectric & metal
Most dielectric & metal
Hydrophilic surface (SiO2 & Si3N4)
Substrate Surface Effects
Scratch surface, not good for thin film
No damage
No damage
No damage
No damage
Uniformity & Controllability
Not uniform
Uniform & repeatable on whole wafer
Uniform & repeatable on whole wafer
Not uniform
Uniform & repeatable on whole wafer
Currently, PCD films are grown using various CVD methods, including microwave plasma CVD (MPCVD), hot filament CVD (HFCVD), radio frequency (RF) CVD (RFCVD) and dc-arc jet CVD (DCJCVD), as shown in Table 7 [82]. However, MPCVD is the most widely used technique for electronic and optical applications of diamond films, because of its high efficacy to produce high quality films on large substrate area, less contamination and good controllability of the growth process. The first PCD films consisted of randomly oriented grains interconnected by grain boundaries. The search for polycrystalline diamond films with improved electronic properties lead the development of deposition techniques for highly oriented films (Table 8).
Table 7
Comparison of different CVD methods for growth of PCD films [82]
Methods
HFCVD
MPCVD
DC-arc Jet CVD
Combustion Synthesis
RFCVD
Growth Rate (μm/hr)
0.1–10
0.1–10
30–150
4–40
 < 0.1
Subst. Temp. (°C)
300–1000
300–1200
800–1000
600–1400
700–1200
Growth Area (cm2)
5–900
5–100
 < 2
 < 3
100
Advantages
Simple, large area
Quality, stability
High rate, good quality
Simple, high rate
High quality
Disadvantages
Contaminations, fragile filament
Rate
Contaminations, small area
Small area
Low rate, expensive
Table 8
Various diamond polishing techniques [192]
Technique
Bulk Processing Temperature
Polishing mechanism
Shape limitations
Si A' limitations
Special requirements
Set-up
Equipment cost
Large area processing cost
Processing time(per cm!)
Reported roughness
Parametric freedom
Surface change(contamination)
Mechanical hipping
Room
Abrasive wear
Only planar surfaces
No limit
None
Rigid and geometry sensitive
Low
Low
Few days
210 nm
Small
Yes
Thermo- mechanical
800–900 °C
Graphitization, dirTusion -
Only planar surfaces
Plate size
Need environ- mental control
Rigid and geometry sensitive
Low
Low
Tens of minutes
5.5 run
Restricted
Yes
CAMPF
 > wc
Oxidation
Only planar surfaces
Plate size
None
Simple
Low
Low
A few hours
49 nm
Restricted
Yes
Laser
Room
Etching, evaporation
Non-planar surfaces possible
No limit
Need scanning of the samples
Simple
High
Medium
Few Seconds
500 m
Large
Yes 
Ion beam
Room
Sputtering etching
Non-planar surfaces possible
Beam size
NeeJ high vacuum
Complex
High
High
Tens of hours
Mint
Restricted
Yes
rn;
700 = 0
Sputtering
Non-planar surfaces possible
Plasma size
NeeJ high vacuum
Higid
High
Medium
Tens of minutes
71 nm
Large
Yes
Bachmann et. al. (in 1991) described the diamond growth region with the C–H–O gas phase diagram, as shown in Fig. 11 [83]. The C–H–O diagram gives a clear picture on where to search for diamond. It is apparent that MCD and NCD films can be grown using a wide range of gases mixture flown inside evacuated chambers with the gas mixtures including hydrogen (H2), methane (CH4), ethane (C2H6), ethylene (C2H4), acetylene (C2H2), and carbon dioxide (CO2). The diagram is independent of deposition technique but not independent of temperature. One of the general trends revealed by Bachmann’s review is the improvement in MCD and NCD film quality at the expense of growth rate with the decrease of carbon content and increase in oxygen content.
Bachmann et al.correlated the temperature of the CVD gas phase with the growth rate and film growth method, as shown in Fig. 12. The increase in deposition rate with gas temperature is generally attributed to a more efficient supply of the diamond growth species. Investigations of diamond film’s growth mechanisms show that methyl and acetylene are the main growth species for MCD and NCD films [84]. Besides gas composition and temperature, gas pressure and substrate temperature control the MCD and NCD film’s growth process. Successful growth of MCD and NCD films were reported for substrate temperatures in the range of 400–1350 °C where poor quality sets the low temperature limit and absence of growth sets the high one. Bachmann et al. observed that the “diamond domain” narrows as substrate temperature increases. Gas pressures in the range of 1–200 Torr were used to grow MCD and NCD films, depending on the substrate temperature, gas temperature and gas composition.
Currently, designers of CVD diamond film growth reactors are pressured to deliver cheaper and better diamond films quality on large areas. The major challenges they face to apply PCD films to fabrication of MEMS devices involve producing large area diamond films with uniform thickness and micro- or nanostructure across the whole area, low temperature deposition and small residual stress in the films.

Doping of PCD films

Accurate control of the doping level and resistivity is particularly important for electrical applications of PCD thin film in sensors. Since the 2000 ˚K temperature necessary for effective diffusion of dopants in diamond is too high [85], diamond film doping is performed either during film growth or by subsequent ion-implantation. Boron (B), Aluminum (Al), Phosphorous (P), Lithium (Li) and Nitrogen (N) atoms have been tested as dopants for SCD and PCD films [86]. Currently, B is the most successfully used dopant to fabricate diamond film-based electronic devices. Different B-containing compounds, typically used for p-type doping, are pure B-atoms-based powder [87], B-trioxide (B2O3) [88], diborane (B2H6) [89, 90] and trimethyl boron (B(CH3)3, TMB) [91]. Although B+ ion implantation has been used for diamond doping [92, 93], its effectiveness has been limited by the implant damages and very low diffusion ability of B atoms in PCD films [94]. Use of TMB to produce B atoms doping of diamond films is currently a preferred source of B dopants because the TMB gas, diluted in hydrogen, is safer to use and more controllable than other B-based compounds [91]. IR measurements confirmed that B atoms occupy substitution sites in the diamond lattice [95]. Figure 13 shows the activation energy and hole concentrations of B-doped PCD films under different doping levels and temperatures [96]. The B activation energy in PCD films was found to decrease with doping, starting at 0.37 eV [97]. This decrease has been attributed to the appearance of an impurity band that increases in width until the onset of metallic conduction at B atoms concentrations greater than 1021 cm−3 [98].
A convenient equation used to relate the activation energy to the doping concentration is shown below. This means that for doping concentrations NA greater than 1.7 × 1020 cm−3 the activation energy Ea becomes zero, correlated with experimental measurements [99].
$$ E_{a} = 0.37 - 6.7 \times 10^{ - 8} N_{A}^{{{1 \mathord{\left/ {\vphantom {1 3}} \right. \kern-\nulldelimiterspace} 3}}} {\text{ eV}} $$
(14)

Patterning of PCD films for MEMS fabrication

For integration of PCD films into Si-based MEMS/NEMS devices, patterning of PCD films, based on Si processing techniques, are required. As the wet etching of PCD films is impractical, since diamond is resistant to all chemical etchants, the commonly used patterning techniques are in-situ pattering and selective dry etching. Laser beam [100] and synchrotron excited radiation [101] were also reported as an optional way to etch PCD films.
On the other hand, selective growth of PCD films on previously patterned structures can be used to produce patterned diamond film-based structures, which can be achieved by selective nucleation of diamond or by masking the areas where growth is not desirable. SiO2 was successfully used, as a masking layer, by Masood et al. [102], Roppel et al. [103] and Davidson et al.[104]. Patterned amorphous Si masking method was also reported [105]. Hirabayashi et al. first nucleated the Si substrate by ultrasonic treatment, then used a photoresist mask for etching Si to 60–70 nm, using an Ar+ ion beam [106], or reactive ion etching [105]. A simple selective diamond nucleation technique, based on spinning a photoresist/diamond powder pre-mixed layer ln the substrate surface, followed by lithographically patterning, was developed by Aslam's group at Michigan State University [102].
However, in all the in-situ nucleation techniques, the lateral growth at the pattern edges and, in some cases, growth on unwanted areas result in serious problems for fabrication of small feature sizes for NEMS devices. Consequently, selective dry etching is an excellent choice for patterning of PCD films. In this sense, selective etching of PCD films, using SiO2 or Si3N4 as masks, was performed at atmospheric pressure, in oxygen environment at 700 °C, in a rapid thermal processor [102]. Dry etching technique using conventional dry etching tools, include: (1) ion beam etching (IBE), (2) reactive ion etching (RIE), (3) electron cyclotron resonance etching (ECR) and (4) inductively coupled plasma etching (ICP), have been intensively investigated and developed in recent years.

Metal films for electrical contacts on diamond films

Metal contacts to diamond have been investigated extensively, due to their importance in the electrical characterization of diamond films. Two types of metals have been used extensively, namely: carbide and non-carbide forming metals. Carbide forming metals (e.g., Ti, Mo and Ta) [107109] provide ohmic contacts upon annealing. Low contact resistivities (~ 10–7 Ω·cm) were achieved for Al/Si-contacts [110] on diamond, after annealing at 450 °C. The low contact resistivity has been attributed to the formation of SiC on the Si substrate surface in contact with the metal electrode surface. Research suggest that the SiC layer contain defects, which lower the barrier height or enhances electrical carriers tunneling, or both. It is possible that annealing may increase the local disorder via introduction of point defects. The model to explain the ohmic contact resulting from carbide formation is shown in Fig. 14 [47, 111]. There is a barrier at the metal / diamond interface before annealing (Fig. 14a). The carbide formation produces point defects at the surface of the diamond. These defects can either decrease the width of the depletion layer, and therefore enhance the probability of tunneling for the electrical carriers (Fig. 14b) or reduce the effective barrier height (Fig. 14c). Although annealing helps the formation of ohmic contact, Ti can diffuse to the Au/ambient interface at high temperature [112116]. Thus, Ti/Mo/Au, TiWN/Au or Ti/Pt/Au stacked layers are used to prevent diffusion. Ohmic contacts were observed after heavy B atoms doping either in-situ, during diamond film growth or implanted after film growth, regardless of the metal being used [117, 118]. Heavy doping is regarded as a process that narrows the width of the depletion region at the metal-diamond interface, increasing the electronic carries tunneling current. By using heavily doped PCD film as an interlayer between diamond and metal, the contact resistance is reduced to one third of the original value [119].
Figure 15 shows curves of contact resistivities, measured experimentally, for various electrode materials, by different groups after annealing [120]. Tunneling theory was used to produce the lines in Fig. 15, for comparison with experimental data (shown by dots, triangles, squares), which shows tunneling theory does not agree well with experimental data for high doping levels. The data in Fig. 15 indicates that the use of single barrier height, after annealing, to model the doping dependence of the specific contact resistivity, is not reliable, and/or there is another neglected conduction mechanism, not accounted for in the modeling, such as the impurity band electrical conduction.
In addition to the work function and type of metal, surface treatments on diamond also contribute substantially to changing the Schottky barrier height, resulting in different contact resistivity. A comparison of Schottky barrier heights data, as measured on clean and oxygen-terminated diamond surfaces is given by Fig. 16. Obviously, oxygen-terminated surfaces lead to larger Schottky barrier heights. Mori also found that if the diamond surface is oxygen-free, the I–V behavior depends on the metal work function and its electronegativity. If oxygen is on the surface, this dependence vanishes [121]. This is in agreement with another group work, which also found that the metal-diamond interfaces, where diamond is H-terminated with negative electron affinity, lowers the Schottky barrier heights [122].

PCD films-based MEMS technologies

Dry etching of crystalline and polycrystalline diamond films

Four major methods of dry etching SCD and PCD films have been reported in the last thirty years, including ion beam etching (IBE) [123, 124], reactive ion etching (RIE) [124145] electron cyclotron resonance etching (ECR) [146153] and induced couple plasma etching (ICP) [154156] Generally, O2 has been widely used to etch diamond by generating volatile CO and CO2 molecules [157], whereas diamond columns were found to stand on the etched diamond surface. Though these diamond columns are an excellent candidate for an electron field emitter [128, 130132, 141, 143145], it is not desirable for PCD films etching, as it hinders the removal of PCD layers to produce MEMS structures not requiring columns. In order to suppress and eliminate the diamond columns, a small additional amount of CF4 or SF6 is introduced into the O2 plasma, thereby sacrificing the etching selectivity of the Al or SiO2 masking layer to the diamond film [126, 127, 129, 134, 135, 139]. However, the plasma environment with the presence of CF4 or SF6 also etches Si, SiO2 or Si3N4 very fast. Such damage is inevitable when doing etching of PCD films, mainly due to the existence of discontinuous areas in the PCD films at the last stage of PCD films’ etching. Such discontinuity can be attributed to: (i) discontinuous areas in the PCD film near the interface between the PCD layer and the underlying layer in the beginning of the PCD film growth; (ii) surface roughness of as-grown PCD films; (iii) preferential etching of PCD films along grain boundaries or in certain facet orientation. Considering the above issues in etching of PCD films, a three-step etching scheme was developed, using a sequential RIE process in CF4, O2 and H2 plasmas, to remove PCD film from areas required to be removed very efficiently, and also achieve a medium-smooth etched surface [158], as shown in Fig. 17. This result is very important to the application of PCD film to produce multilayer diamond-based MEMS structures.

Residual stress in diamond films

The stress produced in SCD and PCD films during the growth process affects strongly the physical properties of the grown films. The stress induced in the SCD and PCD films may result in peeling of the film from the substrate due to compressive strain. Therefore, it is critical to understand the underlying mechanism (s) that produce residual stresses in diamond films described here. Two main components of residual stress have been identified in SCD and PCD films, namely: (1) thermal stress, resulting from cooling the films from the temperature at which they are grown to room temperature; this thermal stress is due to the difference between the thermal expansion coefficients between the diamond films and the substrates; (2) intrinsic stress, resulting from the film growth process; this intrinsic stress is related to the non-diamond phase at the grain boundaries of the PCD films and to structural defects, such as impurities, micro twins, and dislocations.
Techniques used to measure residual stress in diamond films include: (a) measurement of substrate curvature [159163] analysis of X-ray diffraction (XRD) diamond peaks [161, 164172] and measurements of peaks obtained in Raman analysis of the diamond films [161, 162, 164, 167169, 173178].The measurement of the substrate curvature involves sending a focused laser beam onto the integrated diamond film/substrate structure and detecting the position of reflected beams on a screen; this procedure provides the information to determine the curvature of the wafer, produced by the stress induced by the diamond film grown on the substrate surface. using the Stoney’s equation. XRD and Raman analysis provide indirect ways to measure the diamond residual film stress when the integrated film/substrate curvature cannot be measured.
Raman spectroscopy provides a very simple and rapid way to measure the stress in SCD and PCD films, via characterization of the diamond peak located at 1332 cm−1 in the Raman spectrum. In this sense, the Raman diamond peak is shifted from the 1332 cm−1 position, as the stress in the diamond films distort their crystalline structure.
In XRD analysis, the shift of the diamond peak, from the position characteristic of unstressed diamond, provides also the means to measure the diamond film stress. However, the XRD-based measurement method, to determine diamond film stress, is statistically better that the Raman-based technique, because XRD analysis enables the evaluation of average stress on larger films’ areas than that provided by the smaller area focused micro-Raman spectroscopy [166]. In addition, due to the general transparency of diamond films to X-rays, the XRD technique provides information about the stress of the films through the whole film thickness.
Measurements of stresses in diamond films have shown that the intrinsic stress depends on key parameters used for film growth, namely: CH4 gas flow fraction, substrate temperature during diamond film growth [159] and crystalline orientation of the diamond films [179]. In undoped PCD films, the non-diamond carbon phase, generally observed in grain boundaries, and H atoms content, have been considered as major contributors to inducing compressive stress in the diamond films [160, 175]. In addition, for PCD films, mechanical relaxation in the structure of grain boundaries is considered as a major contributor to tensile intrinsic stress [169]. For B-doped PCD films, prior research [173] showed that tensile stress is enhanced with increasing B atoms concentration in the diamond film. The tensile stress is attributed to grain boundary relaxation, due to the short-range B atoms-interatomic distance, resulting in B atoms concentration in the films’ lattice below 0.3 at. %. On the other hand, for diamond films with high B-atoms concentration (> 0.3 at.%), the internal stress is attributed mainly to high defect density, induced by blocking of sliding processes in grain boundaries. The understanding of the mechanism (s) responsible for residual stress in diamond films, produced by the CVD process, is fragmented, with significant disagreement among various research groups, in relation to the mechanism (s) controlling the type and magnitude of the stress, even for similar film’s growth conditions. The disparity in values of measured stress may be attributed to the stress measurement methods [161], which generally exhibit limitations to be considered.
Many applications of diamond coatings are strongly limited due to the poor adhesion of CVD-grown diamond films on different substrates. Therefore, it is critical to investigate the parameters that control diamond films’ adhesion on substrates’ surfaces and develop processes to produce significant improvements on diamond films adhesion on many surfaces for different diamond-coated products [180, 181]. A process that can potentially improve diamond films’ adhesion on substrates is the introduction of a template layer on the surface of the substrate, which can induce an enhanced chemical attachment of the diamond film [182, 183]. The potential mechanisms associated to the template layer for improving diamond films’ adhesion include: (1) enhancement of density of diamond nucleation areas on the substrate surface; (2) minimization of thermal and interfacial stresses; (3) improvement of the surface chemistry of the template layer to induce stronger chemical bonding of the diamond film.

Polishing and planarization of PCD films

The surface roughness and film thickness poor uniformity may induce limitations in different applications of CVD diamond films, induced by different performance parameters, namely: a) insufficient thermal contact for thermal management at the diamond/surface interface, b) light reflection at surface of diamond-coated optical windows, undesirably reducing light transmission, c) lack of reproducibility for electrical contact of metal electrodes on the surface for diamond based electronic active devices, and other effects. MCD films’ high surface roughness induce limitations on the smallest size achievable for diamond films-based MEMS/NEMS devices. The limitations on applications of diamond films for fabrication of many MEMS/NEMS devices, based on surface roughness, can be strongly reduced or eliminated by polishing the diamond surface to achieve a desirable surface roughness for a specific application. Many techniques have been developed and are being used to polish diamond films grown by the CVD process, namely: (1) mechanical polishing [184], (2) polishing via complementary thermo-chemical processes [185], (3) mechanical polishing assisted by complementary chemical process [186], (4) polishing by laser beam swapping over the diamond film surface at grazing incidence [187], (5) polishing by ion beam bombardment of the diamond surface at grazing incidence [188], (6) reactive ion etching (RIE) [189], and (7) liquid jet abrasion-induced polishing with solid particle impact [190, 191]. The characteristics of various diamonds polishing techniques are summarized in [192] where the details of each polishing method are illustrated.
Polished MCD film surfaces may not be flat at the nanoscale level. In addition, secondary effects, produced during polishing, such as formation of submicron-cavities between diamond crystals in PCD films’ grain boundaries, generated during the synthesis of the PCD films, are opened as surface pits during the polishing process. To achieve a nanoscale level smooth surface, these micro-cavities must either be reduced or eliminated during growth (ideal solution) or filled following the polishing process. Organic monomer photoresist, spin-on-glass (SOG), or polyimide may be used as a filler material to fill the cavities [192]. These filler materials are polymerized when heated at temperatures in the range 80–425 °C, yielding a smooth surface. A substantially better approach to produce PCD films with smooth surfaces, without requiring the lengthy and costly polishing procedures described above, is provided by the growth of UNCD films, which exhibit surface roughness of 3–5 nm, similar to the grain size of these films (see detailed description in Sect. 10 of this review and a detailed review of the UNCD film technology published in ref. [4].

Processes for miniaturization of PCD films-based MEMS structures

In relation to how small diamond-based MEMS/NEMS structures can be fabricated, PCD films-based nano-resonators were fabricated as shown in Fig. 18a, using e-beam lithography [193]. The fabricated nano-resonator structures included cantilever structures patterned to have widths as narrow as 200 nm (Fig. 18a), and torsional paddle resonators with a support beam width of 0.5 μm (Fig. 18b). The performance of these structures shows resonant frequencies and Q values in the range of 23–805 kHz and 9500–103,600 respectively. Recently, the world’s first SCD nanoelectromechanical switch was reported by Japanese researchers as shown in Fig. 19. The developed devices can be applied as microwave switch for next-generation wireless communications and logic circuit under harsh environments. The NEMS devices described above establish the infrastructure for producing diamond based NEMS with novel functions, opening the way for the development of various chemical, physical, and mechanical sensors. In relation to producing SCD film-based NEMS structures, as shown in Fig. 19, an important process that needs to be developed, when growing SCD films on SCD substrates, as show in Fig. 19, is etching of the SCD substrate, to release the SCD film-based cantilever.

Diamond-based MEMS sensors, actuators and other devices

Diamond Film-based SAW MEMS devices

Surface acoustic wave (SAW) devices, representing a group of sensors based on resonant frequency’s detection as the sensing mechanism, have demonstrated good efficiency in detecting bio-species such as DNA and proteins. In this sense, the high acoustic phase velocity of synthetic diamond makes it very attractive for the achievement of high frequency (GHz range) SAW devices when combined with suitable electric materials. Among available piezoelectric materials, Aluminum Nitride (AlN) [194197] and Zinc Oxide (ZnO) [198200] has been generally used for high frequency SAW diamond devices. As a piezoelectric material, AlN is preferred owing to its high acoustic phase velocity and its fairly large piezoelectric coupling coefficient along c-axis [197]. Among diamond materials, NCD is preferred compared to MCD because its nanometric grain size could help reduce the important propagation losses usually encountered in MCD [201]. There are two possible configurations of layered structure of a SAW device depending on the placement of (IDT) interdigital transducers as shown in Fig. 20. A fabricated diamond-based SAW device is shown in Fig. 21. A SAW device with such layered structure was reported with operating frequency of 5 GHz and acoustic phase velocity of 9.2 km·s−1.

Diamond film-based Piezo-resistive MEMS sensors

Piezo-resistive-based Si technology is widely used for pressure, force and acceleration-based MEMS sensors. A widely used device based on piezo-resistivity effect is the piezo-resistive acceleration MEMS sensor that deploy airbags in cars during an impact related accident. Commercially available sensors cannot operate at high temperatures because of pn-insulation of the piezo-resistors. The first report of piezo-resistivity in SCD and PCD films-based MEMS sensors, by researchers at Michigan State University in 1992 [202], generated substantial interest in the utilization of p-type PCD films grown by chemical vapor deposition (CVD), as a material for sensor applications, owing to its unique physical and chemical properties and potential operation at high temperatures. A number of studies focused on the piezo-resistive effect of PCD films-based sensors [203216], such as the gauge factor as a function of operating temperature. A MEMS cantilever or diaphragm made of either Si, stainless steel or undoped PCD film, is usually used as a structural material. The gauge factor is usually determined by measuring the change of piezo resistor by pressing down the structural material. Studies demonstrated that the gauge factor of PCD films increases from 5 to 100, at room temperature, with the increase of resistivity, grain size and operation temperature. The reported gauge factors of PCD films in the literature were already shown in Table 5
Figure 22a shows a cantilever made of undoped PCD film, used as the structural material to characterize the gauge factor of p-type PCD film-based MEMS piezo-resistor. Among the applications of PCD film-based piezo-resistive sensors, pressure sensors have been the most investigated [217221].
Figure 22b and c show pressure sensors and an accelerometer from the work described in references [214, 221]. Recently, a position sensor using PCD film-based MEMS structure was developed for insertion in a cochlear implant probe [71] as shown in Figure 22d. RF-MEMS resonators with piezo-resistive detection are also being developed [222, 223]. The piezo-resistive detection, related to device scaling, without decreasing the detection sensitivity, can help adjust the output impedance by: (a) adjusting the piezo-resistor dimensions and (b) increasing the mobility of holes by using intra-grain piezo-resistor. It has been observed also that DLC films also exhibit the piezo-resistive effect and pressure sensor and force sensor made of DLC films have been reported [224228]. Since the Young’s modulus of diamond is much higher than for Si and metals, diamond-based piezo-resistive devices can operate at substantially higher frequencies, as discussed in Sect. 6.1

PCD films-based MEMS gas sensors

Diamond films can also behave as chemical sensors measuring hydrogen, oxygen and many other chemicals’ concentration. For example, diamond–based chemical gas sensors, using Pd/undoped PCD film/highly-doped PCD metal–insulator-semiconductor (MIS) diode structure were made and the hydrogen sensing characteristics investigated as a function of hydrogen partial pressure and temperature [229232]. The physical operation of a hydrogen sensor involves effects as described briefly next: (a) H2 molecules from the environment adsorb on the surface of the Pd electrode; (b) H2 molecules break apart when interacting with the surface of the metal electrode, and diffuses through the palladium (Pd) metal electrode layer to the metal / insulator interface; (c) H atoms adsorb at the undoped PCD film-metal interface, creatin a Pd metal electrode dipole layer; (d) the dipole layer induces a transformation of the work function difference between the Pd metal electrode layer and the undoped PCD film; (e) the relation between the current and the applied voltage to the MIS diode changes; and (f) changes in the current–voltage curve may be induced by the H2 gas partial pressure in the environment in contact with the device [233]. The typical structure of a H- sensor is shown in Fig. 23a [230]. A diamond–based chemical gas sensor using Pt/SnOx/undoped PCD films/highly-doped PCD film MIS diode structure, for oxygen sensing, was also reported [234, 235]. Research provided evidence indicating that atomic O atoms can diffuse into the bulk of PCD films, from the surface of the tin oxide, leading to a decrease in the number of O atoms vacancies in tin oxide, resulting in a decrease in the electrical conductivity of tin oxide. This decrease in the electrical conductivity of tin oxide modifies the voltage distribution across the MIS structure, which, in turn, decreases the diode current. This layered structure can also detect CO gas. A characteristic structure of an O gas sensor is depicted in Fig. 23b [235]. The Pd-gated diamond-based MIS sensor is also sensitive to benzene (C6H6) and toluene (C7H8) gases [233]. The information presented above indicates that benzene and toluene are adsorbed as some forms of hydrocarbon radicals. Besides the use of MIS structure for gas sensing, hydrogenated surface layer of diamond could also be used as shown in Fig. 23c, due to the surface transfer doping mechanism [236]. The steady-state gas response is only limited to analyte gases that can undergo electrolytic dissociation in the surface liquid electrolyte layer and can be enhanced by a small number of O-termination sites.

PCD Films-based UV, X-ray and particle detectors

Diamond is also an excellent material for UV detection [237241], x-ray detection [242244] and particle detection, including neutron detection [245, 246], alpha particle detection [247], proton detection [248] and single-ion detection [249251]. The 5.5 eV band gap of diamond induces an extremely low dark current and specific absorption of λ < 225 nm wavelength light. In addition, diamond exhibits outstanding electronic properties and strong resistance to radiation. Many detectors of the type mentioned above are made from intrinsic diamond instead of p-type doped diamond. The two main designs for diamond-based sensors feature top and bottom electrode layers encapsulating the diamond layer, or interdigitated electrode-diamond layers, as shown schematically in Fig. 24 [252]. The mechanism of UV, X-ray or particle detection is as described in the following text. A charged particle, or a photon with energy above the bandgap, passes through the diamond and ionizes C atoms, leaving a trail of electron–hole pairs, which are separated by the electric field applied between the electrodes. Some electrons are trapped within the diamond PCD film at defects and grain boundaries and may contribute to space charge build up inducing polarization of the crystal. Other electrons are inserted in the electrodes, contributing to the photoconductive current in the detector. Current CVD methods produce PCD films with large grain boundaries’ networks and defects in the crystal structure of the grains and in grain boundaries, affecting the PCD films photoconductivity. Han and Wagner [253] showed that grain boundaries of PCD films degrade the detection properties by a factor of two to three when interdigitated electrodes are used, since carriers are more easily trapped than drifting across grain boundaries.

PCD field emission devices

There has been an increasing interest in developing PCD film-based field-emission (FE) devices due to their huge application potential and lower cost. Diamond is used for field emission because key properties: (1) low operating voltage due to its negative or low electron affinity, (2) constant emission current due to the high chemical stability, and (3) the stability of structure due to its superior hardness. In order to permit (a) lower operating voltage, (b) high accuracy of anode to emitter spacing, and (c) well defined emitter area, the recent research focus has been on devices with a built-in anode. PCD film-based field-emission devices include lateral electron field emitters [254261] and vertical gated electron field emitters [262266]. Among these structures, the cathode is made of diamond with or without micro-tips. The anode is either metal or Si.
An overview of PCD films- based emitter structures, including the new structures used in the current work reported in this paper, is shown in Fig. 25, including concept diagrams. A typical reported lateral emitter structure is shown in Fig. 25a, which is subject to problems related to (i) creation of very small spacing between the anode and the cathode, and (ii) the difficulty of achieving sharp tips. Both of these factors may result in a high operating voltage. The reported vertical gated FE structures are of two types. One type contains diamond micro-tips fabricated by either mold transferring techniques [254, 262] or selective growth methods [263, 264 with marginal emission characteristics, as shown in Fig. 25b, c, respectively. Compared to the lateral electron emitter, the vertical gated electron field emitter can have very sharp tips to achieve high field enhancement factors, whereas the distance between the tip and the circular shaped anode may still be large. Figure 25d shows another structure [265, 266] which allows a small distance between the cathode and the anode with a precision limited by lithographic resolution.

Diamond films-based RF-MEMS

Radio frequency micro electromechanical systems (RF-MEMS) can yield on-chip micromechanical resonators with ultrahigh quality factors over 10,000 at GHz frequencies in both vacuum and air, making them excellent candidates for broadband wireless communications [267]. In addition, RF-MEMS resonators can be excellent mass and chemical sensors, specifically by controlling the chemical surface termination. So far, polycrystalline silicon has been the preferred material for RF-MEMS resonators, having been demonstrated with measured quality factor Q’s above 8400 at a frequency of 50.35 MHz [268] for free-free beam design, Q’s of 2650 at a frequency of 1.156 GHz [269], for radial disk design, Q’s of 2800 at a frequency of 1.52 GHz, for extensional wine-glass design [270, 271]. The impressive outcome of the research described above, can be greatly enhanced if Q’s > 10,000 were achievable at the same GHz frequencies and in the same tiny sizes. In order to further extend device operating frequencies, the use of alternative structural materials, with higher acoustic velocities, given by the formula \(\sqrt {{E \mathord{\left/ {\vphantom {E \rho }} \right. \kern-\nulldelimiterspace} \rho }}\) (E:Young’s modulus and ρ: material density), like diamond and SiC [272] 273, over Si, has been explored. Among the currently available set of thin-film materials, diamond offers the largest acoustic velocity on the order of 18,076 m/s [271]. This is to be compared with the 8,024 m/s of single crystal silicon [272] and 11,500 m/s of silicon carbide (SiC) [273], which are 2.25X and 1.57X smaller, respectively. Given that resonance frequency is generally proportional to acoustic velocity, diamond provides the largest boost towards even higher MEMS-based resonator frequencies. In addition, the electrical and mechanical properties of polycrystalline Si begin to rapidly degrade at temperatures above 350 °C, making it increasingly unsuitable for devices required for high temperature operation.
Fabrication and testing of diamond-based RF-MEMS resonators have been reported in the literature. They were made of SCD [274], MCD [275278], NCD [29, 279283], UNCD [4, 284] or DLC [285, 286]. Figure 26 shows pictures of some reported diamond-based RF-MEMS resonators and their corresponding quality factor Q’s at resonant frequencies f0′s. More quantitative performance results are given in Table 9. The dissipation (affecting Q) is principally induced by the relaxation of substantial number of defects in the bulk or surface of the PCD film. Sepúlveda et al. [277] reported a quality factor Q of 4000–100,000 for PCD films (grain size ~ 300 nm)-based cantilevers, which includes some values higher than the Q’s observed for UNCD cantilevers with comparable dimensions [286]. They proposed the concept that as the percentage of the nucleation layer containing fine grained diamond is increased, the quality factor Q of the resonators is reduced. This result correlates with the hypothesis that higher dissipation observed in UNCD and DLC films is principally due to the presence of the larger number of grain boundaries and defects. Low quality factor Q of NCD in Table 9 may arise from the fact that as the resonator dimensions become smaller, dissipation due to surface defects may increase. This result is supported by research performed by Imboden et al. [280]. From many experiments, it seems that SCD is potentially the best material top achieve high Q. However, the problem is that growth of SCD films on large area substrates for low coast industrial fabrication of SCD-based sensors is not currently suitable as for PCD films-based devices. Thus, PCD film-based RF-MEMS resonator appears to be the best candidate to achieve higher quality factor Q beyond Si for now.
Table 9
The reported room temperature quality factor Q of flexural resonators
Resonator design
Quality factor Q
Measured frequency f0
Actuation method
Mater
Cantilever [275]
510
 ~ 230 kHz
Piezoelectric
SCD
Doubly clamped beam [276]
6225
3.022 MHz
Electrostatic
MCD
Comb drive [278]
36,460
27.352 kHz
Electrostatic
MCD
Cantilever [277]
15,260
384.9 kHz
Piezoelectric
MCD
Cantilever [278]
116,000
8–50 kHz
Piezoelectric
MCD
Doubly clamped paddle [29]
2400–3500
6–30 MHz
Piezoelectric
NCD
Mesh membrane [29]
3000
8–20 MHz
Piezoelectric
NCD
Disk resonator[279]
11,555
1.51 GHz
Electrostatic
NCD
Doubly clamped beam [282]
 ~ 3000
14–157 MHz
Magnetomotive
NCD
Tuning fork [283]
8000
37.0 MHz
Laser
NCD
Square paddle (pillared) [283]
1500
14.77 MHz
Laser
NCD
Square paddle (freely suspended) [283]
3000
13.85 MHz
Laser
NCD
Ring resonator [283]
5000
40.18 MHz
Laser
NCD
Antenna structure [284]
23,200
630.6 MHz
Magnetomotive
NCD
Harp structure [281]
600–2400
17–66 MHz
Magnetomotive
NCD
Cantilever [4]
11,460
11 kHz
Piezoelectric
UNCD
Cantilever [285]
5000–16,000
12–35 kHz
Piezoelectric
UNCD
Cantilever [286]
 
108 MHz
Piezoelectric
DLC (a-C)
Cantilever [280]
3500
KHz
Piezoelectric
DLC (ta-C)
RF-MEMS switches exhibit properties with great potential to enable a new generation of RF communications devices, because of high isolation and low power consumption enabled by the device structure. A classical electrostatically driven cantilever beam structure switch is shown in Fig. 27 [287, 288]. The main part of the device is a freestanding diamond cantilever that can be deflected electrostatically by applying a voltage between the cantilever gate contact and the substrate gate contact. In the deflected sate, the cantilever is bent towards the substrate and current flow across the cantilever signal contact and the substrate signal contact. In the off state the signal contacts are separated by an air gap. All essential parts of the switch are made of diamond except the Si substrate. Thus, heat generated caused by the power loss in the signal contacts can be effectively dissipated over the cantilever and the substrate. Also, no sticking caused by the melting of metal contacts or formation of insulating oxide layers on the signal contacts can occur. An on-state attenuation of ~ 3 dB and an off-state attenuation of − 23 dB at 10 GHz were reported [288]. The results indicate that, for operation in air, a diamond microswitch exhibits approximately eight times higher maximum frequency of operation than a Si microswitch of identical geometry [289]. The disadvantages of it are high driving voltages and low contact force due to the large Young’s modulus of diamond. Alternatively, the bi-metal effect has been employed in an electrothermal driving concept. In this concept, the cantilever is bent thermally induced stress. The actuation voltage can be as low as 1.3 V, which is significantly lower than the actuation voltages of electrostatic switches, which are in the order of several 10 s V. However, thermal power is applied to close the switch and is also needed to keep it closed, which can produce a permanent power loss. To avoid this disadvantage a bi-stable configuration had also been developed based on a prestressed double-anchored beam [290].

Diamond-based BioMEMS microfluidic channels

Heat dissipation is currently a critical challenge to be overcome by the microelectronics industry. Mini-scale coolers involving cooling fluid passing through micron-size channels provide and advanced technology to extract thermal energy from microelectronics circuits such as CPUs. Microfluidic channels are also key components of lab-on-a-chip systems enabling complex chemical/biochemical processes as well as analytical tasks. Ramesham, et al. used anisotropic chemical etching of silicon and selective growth of diamond to form PCD films- based microfluidic channels of 17-μm wide [291]. This processing resulted in channels with diamond forming the top half of the structure and silicon the bottom half. Such channels may not be useful in many microfluidic applications due to the relative chemical reactivity and thermal instability of silicon compared to diamond. Closed surface channels made of PCD films, with a sufficient large cross-section, were reported by Müller, et al. using electroplated copper as mold and sacrificial layer [292]. The copper layer was finally etched by sulfuric acid. A micro membrane pump system was fabricated and characterized based on this process. Guillaudeu, et al. developed free-standing all-diamond fluidic channels using Si as a mold as shown in Fig. 28a [293]. This process is simpler but only narrow width can be designed to close the trench in a proper time by additional PCD film growth as shown in Fig. 28b. The fabrication process, developed by Guillaudeu et al. [293], was modified to integrate an active diamond heating element within the cavity [294]. This process enables the fabrication of an “all-diamond” bubble-jet-based device, for which the fluid is in contact only with diamond as shown in Fig. 29. A B-doped diamond underneath the channel structures was used as a heating element for the bubble-jet element.

MEMS neural probes

Many groups are performing R&D to develop industrial-type processes for fabrication of neural probes. In relation to these efforts, the material needs to exhibit the best possible biocompatibility. In this sense, Si is not an appropriate material, since exhibits poor flexibility, solubility in water, and can induce undesirable glial responses [294]. Several groups have demonstrated an excellent potential solution to this problem, through coating silicon with diamond coatings, which exhibits unique mechanical, tribological, chemical properties and high biocompatibility [4, 295, 296], and as discussed in Sect. 10. The optical transparency of diamond, used as a substrate, is important for in vitro experiment because it allows the electrode’s position on the probe to be easily located under a microscope. Recently, B-doped PCD films-based sensors and electrodes were integrated in Si cochlear microprobes for the first time [297]. B-doped PCD films-based electrodes have also been shown to be effective for the detection of neurotransmitters [298]. Chan et al. [299301] developed a process for fabricating neural probes using undoped PCD films as the structural material and B-doped PCD films as the electrode material. NCD films are being implemented in interconnects and electrical insulation layers, in probes used to measure electrical neural activity. In addition, the comparatively wide potential window (reported values range from 1.4 to 4 V) in an aqueous environment [302, 303], low double layer capacitance (ranging from 5 to 40 μF/cm2) [303], chemical inertness and stability, resistance to fouling of B-doped diamond makes such PCD film-based probe an excellent material for electrochemically detecting neurotransmitters in vitro as shown in Fig. 30 [304, 305].

PCD film encapsulation of Si-based MEMS devices

Although MEMS packaging may benefit from mature packaging techniques from the semiconductor IC industry, MEMS packaging is still complicated due to the diversity of applications. PCD films have emerged as a novel material for MEMS [304307]. The potential of PCD as a thin film packaging material was explored in view of its excellent mechanical strength, electrical properties, chemical stability and thermal management as a heat sink. A PCD thin film packaging process was developed to encapsulate cantilever resonators [307] integrated with highly doped PCD film interconnects [307], as shown in Fig. 31. The efficiency of using a PCD film encapsulation process was evaluated by measuring the resonator frequency and quality factor before and after the packaging process, which indicated that PCD film-based packaging may be integrated into conventional MEMS fabrication without affecting the devices’ performance yields. The hermeticity for the fluidic performance was also tested by an HF soak test, which revealed that PCD films encapsulated cantilevers can perform in harsh environments, withstanding chemical and mechanical attack and high temperatures. A technology using an all-diamond package for wireless-integrated MEMS with B-doped PCD films, as built-in interconnects was also demonstrated [307] (Fig. 32), showing that PCD films based MEMS devices may exhibit an outstanding level of heat dissipation.

Ultrananocrystalline diamond (UNCD) film technology for environmental and human body implantable MEMS devices

Brief Introduction to UNCD film technology

UNCD film growth via microwave plasma chemical vapor deposition (MPCVD) using conventional diamond nanoparticle-based seeding of substrate surfaces

The discovery of a new process to grow polycrystalline diamond films with grain sizes < 10 nm yielded the breakthrough represented by the ultrananocrystalline diamond (UNCD) film technology. The field of UNCD film based technology started with the discovery by Gruen/Krauss/Auciello that replacing the H2 gas in the H2/CH4 gas mixture, used previously to grow from SCD to MCD to NCD films [4, 27, 34, 35], by Ar gas (the less expensive inert gas in the market today). to flow an Ar (vol. 99%)/CH4 (vol. 1%) gas mixture in the MPCVD system, resulted in the growth of polycrystalline diamond films with grain size in the range of 3–5 nm size, the smallest grain size of any polycrystalline diamond film today (see reviews [4] and patent [33]). Briefly, the original R&D, using the MPCVD film growth technique, demonstrated that diamond films grown by MPCVD, using an Ar (99%) / CH4 (1%) gas mixture flown into an air evacuated chamber of an MPCVD system, and coupling microwave power, produced a green plasma involving C2 (C=C) dimers, with no H atoms bonded, thus enabling an extremely low(~ 6 kcal/mol) activation energy for C atoms sp3 (diamond) bond formation on substrate surfaces. acting as the main UNCD nucleation species to induce nucleation and contribute to film growth, jointly with CHx (x = 1, 2, 3) species created also in the plasma. In this sense, recent modeling [308] indicated that although the C2 content in the plasma is high, the density near the substrate surface may be lower, and other hydrocarbon radicals (e.g., CH3, C2H2) may also contribute to the growth of UNCD films. However, the model [308] is related to the grow of diamond films produced by MPCVD, using a mixture of Ar/CH4/H2 gases, which does not produce the unique UNCD structure (with 3–5 nm grains) grown by MPCVD with the Ar/CH4 chemistry [4, 33]. In addition, the model [308] does not explain the low temperature growth of UNCD films as demonstrated for UNCD film growth at 350–400 °C [309, 310]. In any case, diamond films with 3–5 nm grain sizes (see Figs. 33a,b), which induced the coining of the name UNCD, with surface roughness of ~ 3–5 nm rms (see Fig. 33c), lowest coefficient of friction (compared with MCD, NCD, and DLC (see Fig. 33c), practically no stiction in contact with other materials (see Fig. 33d), excellent dielectric properties, and no mechanical wear [4, 33], enable a new generation of superior MEMS/NEMS devices, as described in the following sections.
The MPCVD-based UNCD film growth process described above is based on prior conventional process of seeding the surface of the substrate with micro- or nano-diamond particles, to induce the nucleation and growth of the UNCD films. The most used seeding process today, involves immersing the substrate in a container, with a solution of micro- or nano-size diamond particles in methanol, in an ultrasound wave generating system, such that the sound waves shake the diamond particles, embedding them on the substrate surface as “seeds” to induce the nucleation and subsequent growth of diamond films (see review [4]).

UNCD film growth using MPCVD bias enhanced nucleation-bias enhanced growth (BEN-BEG) process

A new approach to grow UNCD or any other PCD films, using the MPCVD process, eliminating the extra costly step of the chemical seeding process, involves biasing electrically conductive or semiconductive substrates, like Si, with a negative voltage with respect to the plasma, to accelerate C+ and CHx+ (x = 1, 2, 3) ions by 100–300 eV, which upon impacting on the substrate induce Carbide layer formation, nucleating the diamond films, and subsequently contributing to the growth process. Early research on exploring the concept of BEN-BEG of UNCD films was done first when exploring growing UNCD films with CH4/N2/very small H2 gas mixtures in an MPCVD process [311], to produce N-UNCD films with N atoms in grain boundaries to develop electron field emission devices [311]. This research provided first experimental evidence supporting earlier theoretical work [312] proposing a mechanism for BEN of diamond films Subsequently, two groups demonstrated BEN processes to grow UNCD films using the patented [33] CH4/Ar gas mixture in the MPCVD process. One group demonstrated that BEN of UNCD films, followed by growth without bias on Si substrates [313], exhibit stronger adhesion to the Si substrate’s surface (a very important effect for UNCD film-based MEMS/NEMS devices) than for UNCD films grown on chemically seeded Si surfaces [4, 309, 310]. The stronger diamond film adhesion to substrates, produced by the BEN-BEG process, is induced by the relatively high kinetic energy of C+ and CHx+ ions extracted from the plasma and accelerated to the Si substrate surface, which easily form covalent bonding, Si–C, and bond strongly to both the Si and subsequently to the growing UNCD film.
Auciello’s group performed systematic R&D to develop a low-pressure BEN-BEG process to grow UNCD films [314]. using the MPCVD-based BEN-BEG technique, involving key steps, namely: (1) etching of the natural SiO2 layer on the surface of a Si (100) substrate, for 10 min, in a pure H-based plasma, with a substrate biased at − 350 V; (2) in situ BEN-BEG, using a H2 (93%)/CH4 (7%) gas mixture, to involve H0 atoms and H+ ions in the plasma for etching the impurity graphitic phase that in many cases growth concurrently with the diamond phase when growing NCD films [315317]. The grow of UNCD films by BEN-BEG involved a plasma produced by 2.2 kW microwave power at low 25 mbar pressure and applying − 350 V bias on a substrate heated to 800 °C in a 2.45 GHz 6 in. IPLAS CYRANNUS MPCVD system [314]. As the UNCD film nucleates, the electron emitted current from the substrate increase to a stable value (Fig. 34a), while the surface roughness of the films decreases from the low NCD (~ 10 nm), while grains are nucleating (Fig. 34b), to the UNCD surface roughness of ~ 6 nm (Fig. 34a), when the UNCD film reach high density (Fig. 34c), with the surface roughness measured by high resolution AFM (Fig. 34d). HRTEM showed that the ion bombardment, during the BEN process, induces formation of pyramidal Si structures (Fig. 34e), produced by preferential ion beam sputtering along the Si {100) crystallographic direction. Subsequently C atoms bond chemically with Si atoms on the tip of the pyramids nucleating initial interface SiC layer, on which the UNCD film grows, while some initial graphite interface layer develops in between the Si pyramids (Fig. 34f) and related electron diffraction patterns), subsequently also enabling UNCD film growth (Fig. 34f). The BEN-BEG process described above yielded UNCD films with low stress, smooth surfaces (~ 4–6 nm), high growth rates (~ 1 µm/h) (see Fig. 34c and uniform grain size (3–7 nm) throughout the whole film area on 100 mm diameter Si wafers.

UNCD film growth using hot filament chemical vapor deposition (HFCVD) Process

UNCD film growth via HFCVD using conventional diamond nanoparticle-based Seeding of Substrate Surfaces
Recently, Auciello’s group performed systematic research that demonstrated that UNCD films can also be grown on large area substrate with excellent density, nanoscale grains (~ 3–5 nm) and thickness uniformity across 100 mm diameter Si wafers using the HFCVD process [318]. The HFCVD process involves setting up an array of nine parallel W filaments held on a Molybdenum (Mo) frame (Fig. 35a,b), positioned above the substrate holder at a distance of ~ 3 cm (Fig. 35a). The substrates are positioned on a Mo disk, which is rotated during film growth to induce film thickness uniformity on up to 100 mm diameter substrates. The filaments are heated to ~ 2200 °C, via passing an AC current during the film growth. Several gas ratios were investigated for diamond film growth, using the conventional nanocrystalline diamond seeding process described for the MPCVD process. Table 10 shows the gas mixtures flown to grow MCD, NCD and UNCD films. The H2 (10 sccm)/CH4 (2 sccm)/Ar (90 sccm) gas mixture produced UNCD films with nanostructure identical to those shown in Fig. 33a,b. The systematic research on the HFCVD process [318] provided substantial improvement on understanding the HFCVD process to grow UNCD films, previously investigated by other groups, which was limited in extend and deepness [319, 320].
Table 10
Effect of gas mixture flows on grain size of PCD films grown by HFCVD
Diamond Flim Structure
H2 flow (sccm)
CH4 flow (sccm)
Ar flow (sccm)
Grain size
MCD
200
2
0
3–5 µm
MCD
50
2
50
 ~ 1 µm
NCD
30
2
70
100 s nm
NCD
20
2
80
20–50 nm
NCD
15
2
85
10–20 nm
UNCD
10
2
90
3–5 nm
UNCD film growth via HFCVD bias enhanced nucleation-bias enhanced growth (BEN-BEG) Process
Growth of MCD to UNCD films using the aggressive “seeding” process in an ultrasonic system can damage most 3-D micro/nanostructured surfaces, such as micro/nano-rods of 3-D nanoscale Si or other materials being investigated to produce diamond-based MEMS nanostructured components. Therefore, the development of a BEN-BEG process using HFCVD is, as the MPCVD BEN-BEG process, a key diamond film growth process for a new generation of diamond and particularly UNCD film-based MEMS/NEMS devices.
Early research done to investigate HFCVD BEN/BEG of diamond films were focused on growing mainly MCD to NCD films on high electronic conductivity Si++ substrates, mostly on small areas [321, 322], except for work by one group [323], where the authors demonstrated the pathway for HFCVD BEN-BEG of NCD films on up to 100 mm diameter Si substrate, although the film did not cover the Si substrate all the way to the edge (Fig. 4 of Ref. [323]).
Following research on growing NCD films via HFCVD BEN + growth with no bias, systematic research by Auciello’s group demonstrated [324] growth of UNCD films via HFCVD BEN-BEG on 100 mm diameter Si wafers coated with nanoscale (60–70 nm thick) W layer, to induce veery dense films, as previously demonstrated for growth of UNCD films on W layers via the MPCVD process [4]. Figure 35c shows the plasma created on the surface of a W-coated Si 100 mm diameter wafer, via application of − 220 V on the substrate, keeping a constant electrical current of 1.5 Amp. through the plasma, producing an extremely uniform UNCD film, in thickness, on a 100 mm diameter Si wafer (Fig. 35d). Cross section HRTEM image (Fig. 35e) shows the formation of WC nucleation sites, induced by the impact of energetic C+, CHx+ (x = 1, 2, 3) and Ar+ ions on the W layer, such that the WC nucleation leads to UNCD film growth (see detailed explanation in [324].
Another key technological development related to the HFCVD BEN-BEG process was the demonstration [324] that this process can be used to grow UNCD films selectively on micro-structured W lines patterned on a 100 mm diameter Si wafer (see Fig. 36).
The selective UNCD film growth process, on patterned W lines, open the pathway to produce selectively grown UNCD layers to subsequently fabricate, in a speedy way, MEMS/NEMS cantilevers to produce MEMS/NEMS-based biosensors and energy generation devices, as described in Sect. 10.3
The UNCD films produced by the MPCVD and HFCVD processes exhibit a unique combination of chemical, mechanical and tribological properties to enable a transformational new generation of MEMS/NEMS devices, as described in the Sections below.

Integrated CMOS (Driver)/RF-MEMS switches with fast charging/discharging ultrananocrystalline diamond film dielectric

As explained in prior Sections, (RF-MEMS) is one of the important components in Radar and mobile communication electronics. RF-MEMS switches have been demonstrated for GaN-based solid state junction devices [325] and Si-based semiconductors such as Si or SiC [326]. On the other hand, capacitive RF-MEMS switches have been extensively investigated due to their low insertion losses, ultralow power consumption and ultrahigh linearity. The RF-MEMS capacitive switch involves a flexible metal membrane dangling over a lower metal electrode coated with a dielectric layer. Application of an electric field, between the metal membrane and the lower electrode, results in a physical motion of the membrane towards the electrode, resulting in the change of membrane/electrode capacitance, coupling the RF signal to the desired path. Upon turning the applied electric field off, the membrane is released of the contact to the bottom dielectric layer/electrode (Fig. 37a), interrupting the RF signal. Despite the excellent RF performance of capacitive switches, they have not been used because lack of long-term reliability. Failure modes of RF-MEMS capacitive switches include dielectric breakdown after billions of operation cycles, due to high build-up or electrical charges on electrodes and membranes, resulting in switch failure. An alternative switch failure is induced by stiction of the flexible membrane to the hydrophilic dielectric layer surface (see fig 37a), under increased humidity condition. The most common materials used in the dielectric layer in RF capacitive switches are silicon dioxide (SiO2) and silicon nitride (Si3N4). Under continuous operation, trapped charges in electrodes and membranes induced switch failure. Studies have shown that oxide and nitride dielectric layers charge in 10s of seconds, but they discharge in 100s of seconds, which leads to failure due to the membrane electrostatic-induced stiction, onto the bottom electrode [326]. The RF-MEMS membrane stiction is further increased by the hydrophilic nature of the surface of the conventional metal membranes and oxides and nitrides dielectric layers used today.
The problems described above for conventional RF-MEMS switches were solved by integration of UNCD films, as dielectric layer, into RF-MEMS switches with metal membranes and electrodes (see Fig. 37b–d for details).
Detailed measurements of the dielectric properties of UNCD films in capacitor-type structures and in RF-MEMS switches revealed several insights into physical and device related phenomena, as described below:
  • I–V measurements indicate that grain boundaries control to large extent the new paradigm charging/discharging behavior exhibited by UNCD films.
  • Measurements of the voltage needed to release the switch membrane indicate that this value decreases after charging, which suggests that charging appears to be in the bulk instead of the surface of UNCD [326].
  • Measurements of switch release voltage for RF-UNCD-MEMS switches showed that this Voltage decreases monotonically from 13 V to 0 when the charging time is increased from 10 to 500 μs. This rate of voltage’ decrease correlates with a time constant of 95 μsec, which are far superior, under comparable fields of 106 V/cm, to the time constant (10 s) of RF-MEMS switches with SiO2 or SiNx dielectric layers [326].
  • Other measurements showed 5–6 orders of magnitude quicker recovery times for RF-UNCD/MEMS switches than for RF-MEMS switches with SiO2 or SiNx dielectric layers. Switches kept in the “on” position for 100 s recovered back to their original condition. In less than 50 µsec. This implies that if switches are cycled off once out of every 100 s, they will be fully recovered from any effects of charging and are ready to be reused anew; thus, the RF-UNCD/MEMS switches have to be turned off only for 0.00005% of the timeline to operate without charging failure.
The R&D performed on developing RF-MEMS with UNCD dielectric layers showed, for the first time, the possibility of operating capacitive MEMS switches almost continuously “on” without an adverse impact on switch reliability.
In conclusion, the data and discussion presented above provide a view of what can be considered as a new paradigm in materials, materials integration, device architecture, and fabrication for a new generation of high performance RF-MEMS switches, featuring UNCD as the new dielectric layer, which may enable a new generation of high frequency systems, using RF-UNCD/MEMS switches as the cornerstone. In addition, Fig. 35d shows that the scaling to growth UNCD dielectric layers, with excellent uniformity on thickness and nanostructure, and dielectric properties, on 200 mm wafers, and mass scale fabrication of integrated RF-UNCD/MEMS switches/SOS-CMOS devices [326], provides the bases for commercialization of these new technology.

Integrated multifunctional piezoelectric oxides/ultrananocrystalline diamond (UNCD) films for a new generation of biomedical MEMS sensors and energy generation devices

Powering MEMS-based in-vivo devices is mostly done, currently, via conventional or thin film battery systems. The problem is that current batteries require replacement or recharging more frequently than desired. Therefore, alternative power sources are desirable to replace batteries, which involve undesirably invasive replacement/recharge procedure. For example, defibrillator/pacemakers are a common implantable medical device, which requires an independent power source, operating completely autonomously from the outside world. Currently, defibrillator/pacemaker operation is based on power provided by batteries supplying ~ 0.65–2.8 A hours for 5 to 9 years [327]. Eventually, the battery for defibrillator/pacemakers needs to be replaced, requiring additional surgery with extra cost and patient discomfort. Power for defibrillators/pacemakers may be provided by an electromagnetic-based MEMS generator. Roberts et al. [328] demonstrated an electromagnetic MEMS-based generator, capturing vibrational energy produced by heart muscles, generating power to supplement the pacemaker’s internal battery. Initial clinical trials demonstrated that the MEMS energy generator produced up to 17% of the energy required to operate a conventional pacemaker [327]. Further development of the MEMS-based energy generator technology may enable elimination of the costly/invasive surgeries required to maintain the pacemaker, both decreasing medical cost and improving the quality of life for the patient. MEMS-based power generation may also enable implantable biosensors. Two alternative MEMS-based power generation are being explored, namely:
Electrostatic vibration-to-electricity conversion which involves using a MEMS comb drive-type structure, generate electricity from vibrating combs fingers, via change in a vibration-driven capacitance, which causes charge transfer and current flow.
Piezoelectric energy conversion which harvest power from mechanical vibrations of piezoelectric materials. When electric fields are applied to a piezoelectric crystal structure or thin film between two electrode layers, positive and negative ions, in the piezoelectric material, are physically moved in opposite directions, distorting the micro-structure of the crystal, and inducing a polarization in the material, while electrical equilibrium within the crystal is maintained by mobile electrons, creating a current. The effect described above is referred to as the direct piezoelectric effect. On the other hand, the exact opposite phenomenon, the converse piezoelectric effect, is produced by vibration generation in a MEMS oscillating membrane or cantilever-type structure. Piezoelectric generation is frequency dependent, maximized at resonance frequency [329]. The piezoelectric-based MEMS power generator involves using a piezoelectric film encapsulated between two electrode layers to convert the displacement and strain into electricity through the piezoelectric effect (motion of charges induced in the piezoelectric layer). Three materials have been explored as thin films for use in piezoelectric MEMS, namely: lead-zirconate-titanate (PbZrxTi1-xO3 (PZT), which main drawback for application to implantable devices is that it contains Pb, making it unacceptable; zinc oxide (ZnO), and aluminum nitride (AlN), the last two being to some extent biocompatible, although substantial research is still necessary to probe that they are biocompatible. More recently, another promising piezoelectric film technology has been demonstrated [330], based on BiFeO3 (BFO)/SrTiO3/BiFeO3 nanolaminate structured films with super-high piezoelectricity compared with PZT, ZrO, and AlN. Initial tests, underway, are demonstrating that BFO may be biocompatible, which is supported by the fact that is formed by Bi (an element in a pharmaceutical drug named Pepto-Bismol), Fe, and O, both in the human body.
A key aspect of integrating a piezoelectric film on an underlying substrate material, to fabricate optimum piezoelectrically actuated MEMS/NEMS biosensor and power generation devices, is to determine which is the best substrate material. In this respect, calculations showed that the resonance frequency/dynamic displacement is largest for the PZT/UNCD hybrid compared to other PZT-based hybrids [331], including Si, nitrides, metals and insulators (see Fig. 38). In addition, UNCD films provide the best support material for MEMS resonators and other MEMS/NEMS devices, due to the far superior mechanical and tribological properties shown in Table 11.
Table 11
Comparison of mechanical and tribological properties between Si, SiC, diamond
Property
Si
SiC
Diamond
Lattice constant (Å)
5.43
4.35
3.57
Cohesive energy (eV)
4.64
6.34
7.36
Young’s modulus (GPa)
130
450
1200
Shear modulus (GPa)
80
149
577
Hardness (kg/mm2)
1000
3500
10,000
Fracture strength (GP)
1.0
5.2
5.3
Flexural strength (MPa)
127.6
670
2944
Friction coefficient
0.4–0.6
0.2–0.5
0.01–0.04

Growth and characterization of PZT films on UNCD films for fabrication of piezoelectrically actuated MEMS/NEMS devices

Sol–gel growth process for PZT films involves spreading a solution of Pb acetate tetrahydrate (aldric 99%), Zr-propoxide (aldric 97%) and Ti-isopropoxide, as precursors materials, and 2 methoxyethanol [2MOE] (sigma 99.9%) as a solvent, on the electrode layer grown on the UNCD MEMS/NEMS substrate material, following annealing in air at 450 °C for 5 min to induce the piezoelectric PZT structure (see details in [332]).
RF planar magnetron sputtering-deposition for growth of PZT films can produce PZT films via a relatively simple process, where a plasma us created in front of a stochiometric PZT solid target, inducing bombardment by inert gas ions (mainly Ar ions, the less expensive gas)), which eject atoms (sputtering process) from the surface of the target, with the atoms flowing towards the substrate where they grow the films.Several variations of the RF-sputtering have been developed and are still being optimized for the production of PZT thin films [333]. However, the main problem is that RF magnetron sputtering does no reproduce the stoichiometry of the target on the film, due to preferential sputtering of O atoms, which change the target stoichiometry [333], thus PbO rich targets needs to be used.
Pulsed laser ablation-deposition (PLD) for growth of PZT film this technique involves pulsed laser ablation of solid state stoichiometric PZT target, and a substrate holder opposite to a rotating target at a distance in the range 10–15 cm. In general, a KrF excimer laser (wavelength: 248 nm) is directed through a quartz SUPRASIL II window, into the vacuum chamber, evacuated to ~ 10–7 Torr, to evaporate atoms from a stochiometric solid PZT target. The advantage of the PLD technique, over the RF sputtering-deposition process is that the PLD process does not produce change in the stoichiometry of the target, since the laser beams induce evaporation of the material in a stoichiometric way [334, 335].
Metalorganic chemical vapor deposition for growth of PZT films This technique uses a bis-tetramethylheptanedionato-Pb tetrakis1-methoxy-2-methyl-2-propoxy-Ti and tetrakis1-methoxy-2-methyl-2-propoxy-Zr, metalorganic mixture of chemical vapor flowing the Pb, Zr. Ti and O atoms on the substrate surface, heated to 450–525 °C. For example, a 70 nm thick PZT film grown at 475 °C on an Ir electrode exhibited good ferroelectric (piezoelectric) performance, such as high remnant polarization at 4 V), and small coercive voltage (∼0.6 V at 4.5 V) to induce the ions motion inside the material to produce the piezoelectric actuation.
The first attempt at integrating Pt/PZT/Pt/UNCD films into MEMS cantilevers, failed because the UNCD films appeared chemically etched when looking at the bottom Pt/UNCD cross section in a SEM imaging [see review in [332], after growing a PZT film, via the PLD process. Fundamental materials science revealed that O atoms, involved in the growth of the PZT films at 450–525 °C, diffused through grain boundaries of the Pt electrode layer in contact with the UNCD film, etching the latter via chemical reaction and formation of volatile CO and CO2 molecules. This problem was solved by growing, via room temperature sputter-deposition, a layer of TiAl (~ 30–40 nm) on the UNCD film surface, providing a barrier for O atoms diffusion, based on thermodynamic process, which indicate that oxygen atoms react preferentially with Ti and Al to form stable oxides due to the lowest energy of oxide formation for these elements with respect to all other elements in the periodic table (see details in the review in ref. [332]. The TA barrier inhibits oxygen-induced etching of UNCD (carbon) layer during growth of PZT at relatively high temperature (450–500 °C) in oxygen. PZT films were grown by the PLD technique described above.
Measurement of the polarization vs. applied voltage, between top and bottom Pt electrodes encapsulating the PZT film, inserted in cantilever-type MEMS structure for biosensors and power generation devices, showed excellent polarization saturation (see Fig. 39).

Fabrication of integrated Pt/PZT/Pt/UNCD heterostructure MEMS cantilevers

For the R&D described in this Section, the fabrication of Integrated Pt/PZT/Pt/TiAl/ UNCD heterostructure films MEMS/NEMS cantilever-based biosensors and power generation devices involved the following steps (see details in [332]):
(1)
Growth of 1 µm thick UNCD layer on Si (100) substrate coated with 1 µm SiO2 sacrificial layer
 
(2)
Growth of a 20 nm thick TiAl barrier layer on the UNCD film to stop O atoms diffusion, during growth of PZT films in O gas at ~ 450–525 °C, which would etch the C-based UNCD film;
 
(3)
Growth of 180 nm thick Pt layer (bottom electrode) on top of the TiAl barrier layer;
 
(4)
Growth of 60 nm thick PbZr0.47Ti0.53O3 piezoelectric layer, via PLD at 550 °C, in 100 mTorr of O gas;
 
(5)
Growth of the top 50 nm thick Pt layer (top electrode) to complete the capacitor-like structure to measure the polarization properties (see Fig. 39) of the PZT layers integrated with the UNCD films, and piezo-actuation via voltage application between the top and bottom Pt electrode layers in the Pt/PZT/Pt capacitor-type structure produced on the same UNCD film used for MEMS cantilevers; Steps 1 to 5 are shown in Fig. 40a.
 
(6)
Fabrication of UNCD (~ 140 µm long × 1–10 µm wide) cantilevers, using a Focused Ion, Beam (FIB) system with a 30 keV Ga+ ion beam to etch the integrated Pt/PZT/Pt/TiAl/UNCD films to define the cantilevers (see Figs. 40b,c),
 
(7)
Chemical etching of the SiO2 layer, using HF acid, which etches only the SiO2 layer, without any chemical attack on UNCD, thus liberating the cantilever formed by the integrated Pt/PZT/Pt/TiAl/UNCD films (Fig. 40d).
 
Integrated Pt/PZT/Pt/TiAl/IUNCD film-based MEMS cantilevers can be processed also via lithography and RIE processes, as demonstrated in prior R&D [332].

Demonstration of piezoelectrically actuated Pt/PZT/Pt/UNCD film-based heterostructure MEMS cantilevers-based biosensors

Figure 41 shows the demonstration of a biosensor to detect deadly anthrax molecules, via chemical growth, on the surface of the exposed UNCD end of the cantilever, biomolecules that can react only with anthrax molecules (see Fig. 41a). Figure 41b shows an SEM image of the integrated Pt/PZT/Pt/UNCD heterostructure MEMS cantilevers-based biosensors actuated via application of 3 V AC (1 Hz to 1 MHz) between the top and bottom Pt electrodes, inducing polarization of the piezoelectric layer between them, which made the cantilevers vibrate for up to 2 billion cycles without any degradation (Fig. 41c). The biosensing of anthrax molecules happen when those molecules are captured by the conjugated biomolecules grown on the UNCD cantilever’s surface (see Fig. 41a) and the cantilever vibration is changed.
The piezoelectrically actuated Pt/PZT/Pt/UNCD heterostructure MEMS cantilevers-based biosensors demonstrated for detection of anthrax can be applied to detection of other biomolecules by growing the appropriate conjugate biomolecules on the surface of the UNCD layer.

Demonstration of piezoelectrically actuated Pt/PZT/Pt/UNCD heterostructure MEMS cantilevers-based power generation device

Cardiac cell mediated actuation of piezoelectrically actuated UNCD-based MEMS biopower generation device is based on myocardium’ cells exhibiting compression and relaxation cycle beating in the 2–3 Hz range, autonomously, when properly cultured. Cardiac cells from certain animals, such as birds, actuate even at higher frequencies. The actuation is driven by the energy supplied to the cells by nutrients in the fluid environment where the cells live.
Based on the above information, the opportunity exists for investigating the integration of myocardium cells with piezoelectrically actuated cantilevers to achieve biopower generation via cell beating-induced mechanical vibration of the cantilever and generation of electrical potential from the mechanical deformation of the piezoelectric layer, which supplies electrical through top and bottom film electrodes encapsulating the piezoelectric layer.
Cardiac cells can be grown on the end of the exposed UNCD cantilever surface of the integrated Pt/PZT/Pt/UNCD cantilever, and hopefully in the near future replacing the non-biocompatible Pb-based PZT by BiFeO3, a new alternative biocompatible (made of biocompatible Bi, Fe, and O) piezoelectric material under investigation [330], exhibiting higher polarization than PZT. The growth of different types of biological cells on the surface of UNCD films has been recently demonstrated [336, 337]. The beating cardiac cell makes the cantilever vibrate, and the strain produced on the piezoelectric layer, induced by Pb2+, Zr4+, and Ti4+ ions displaced in one direction and the O2− ion in the opposite direction ((inverse piezoelectric effect), thus inducing the PZT layer sandwiched between bottom and top electrode layers to induce voltage pulses extracted between the electrodes. The part of the cantilever containing the cells can be immersed in blood cell fluid in the human heart, which provides the fluid with nutrients that energize the cardiac cells, enabling the piezoelectric actuated power generation MEMS device as shown in Fig. 42.
The integrated Pt/PZT/Pt/TiAl/UNCD cantilever-type MEMS power generation device powered by heart cells, may enable replacement of the Li-ion batteries used today to power defibrillator/pacemakers, which need to be replaced in undesirable short periods of time (6–9 years from the time of first implantation [338]), which requires expensive replacement cost and patient discomfort [338].
The information presented in "Integrated multifunctional piezoelectric oxides/ultrananocrystalline diamond (UNCD) films for a new generation of biomedical MEMS sensors and energy generation devices", secion including all sub-Sections, above shows that the integrated biocompatible Pt/piezoelectric/Pt/TiAl/UNCD MEMS/NEMS cantilever-based devices may provide transformational technologies for new generation of biosensors and biologically based power generation devices to make a revolutionary impact in improving the quality of life of people worldwide.

Demonstration of piezoelectrically actuated Pt/AlN/Pt/UNCD heterostructure membranes for MEMS drug delivery devices

Growth of AlN films on integrated Pt/Ti adhesion layer/UNCD film

AlN films for piezoelectrically actuated MEMS devices are synthesized mainly in the Wurtzite hexagonal crystallographic structure with c-axis (002) orientation perpendicular to the surface (XRD diffraction peak appears at 36°), corresponding to the crystal orientation that yields the highest piezoelectric constant in addition to a high acoustic wave velocity [339, 340]. AlN (002) oriented films were grown, using reactive sputter deposition (AJA ATC Orion system), involving sputtering of Al atoms from a metallic target, via Ar ions bombardment from an Ar-plasma, and flowing N2 gas to produce the AlN films on Pt electrode layers, at 500 °C and pressure of 3mTorr. AlN layers with thickness in the 260–420 nm range were grown on Pt/Ti bottom electrode layer grown on UNCD films on a 100 mm diameter Si wafer. XRD analysis revealed a diffraction peak at 36.05°, corresponding to the hexagonal AlN (002) orientation. which induces the highest piezoelectric coefficient in AlN films (see detailed information in [340]), Research showed that AlN films grown on chemical mechanically polished (CMP) B-doped UNCD (B-UNCD) films with Pt electrode on the surface exhibit surface roughness of about 0.2 nm rms and high (002) orientation, confirmed by XRD analysis [340], similar to UNCD films grown on atomically flat semiconductor surfaces. In addition, measurement of the piezoelectric coefficient revealed that the extremely smooth (002) oriented AlN films exhibit 5.3 pm/V piezoelectric coefficient [340], which is the highest among currently reported values for AlN films. The results presented here indicate that the smoothness of substrate surface is critical to achieve highly (002) oriented very thin AlN films.

Fabrication of integrated Pt/AlN/Pt/Ti/UNCD film-based piezoelectrically actuated MEMS drug delivery device

The fabrication of Pt/AlN/Pt/Ti/UNCD film-based piezoelectrically actuated drug delivery membranes involved the following steps: (1) UNCD thin films were grown by the MPCVD process, using the parameters described in Sect. 10.1, on Si substrates after a nano-diamond seeding process on the silicon surface, (2) AlN/Pt/Ti (adhesion layer on UNCD) films were grown on to the UNCD layer (see Fig. 43a) [340]; (3) photolithography, using a specially designed mask, was used to define a window on the backside of the Si wafer, (4) reactive ion etching was used to pattern the Si3N4 mask to subsequently produce chemical etching of the Si substrate to produce the cavity sustaining the UNCD drug deliver membrane on the front side of the wafer (see Fig. 43b). Square membranes of UNCD, with lateral dimensions in the range 200–100 µm, with thickness in the range 100–500 nm, were fabricated and characterized by Raman spectroscopy, optical microscopy, scanning electron microscopy (SEM) (see Fig. 43) and reflectometry.
The active drug delivery device involves the actuation of a piezoelectric valve involving an AlN film, as the biocompatible piezoelectric film encapsulated between two Pt electrodes and the whole structure grown on an UNCD film grown on a Si wafer. This device is capable of controlling drug delivery dose with an applied electric field between the two Pt electrodes encapsulating the piezoelectric AlN layer, which actuate the lever valve, shown in Fig. 43 above, inducing the flow of drug containing fluid from a reservoir to the outside world.
The morphology and operation of the piezoelectrically actuated cantilever is shown in the image from a numerical simulation (Fig. 44), where the piezoelectric layer is grown onto the top of the UNCD membrane, occupying a small part of the latter thus increasing the strain of the membrane on the valve.
The R&D described in this Section demonstrated that the integration of two unique dissimilar materials, such as a piezoelectric ALN and a diamond based UNCD film can produce transformational new generation of external and implantable MEMS/NEMS sensors and drug delivery devices to improve the quality of life of people worldwide.

Fabrication and characterization of MEMS structures for electron field emission devices based on N-UNCD-coated Si surface

Carbon nanotubes and NCD have been investigated for the last two decades for fabrication of electron field emission devices, because their low power consumption and potential for miniaturization. Long life electron field emission materials are extremely powerful for long life electron emission sources for mass spectrometers for space exploration where electron sources are exposed to harsh environments, such as miniaturized mass spectrometers for use as in situ chemical analyzers on the moon and other planetary surfaces, long-lived electron source, to generate ions from gaseous sample using electron impact ionization. In relation to the use of UNCD film technology, R&D performed several years ago demonstrated that the MPCVD process discussed in Sect. 10.1, involving flowing CH4/N2 gas mixture into a vacuum chamber, coupling microwave power, creating a plasma involving C+, CHx+ (x = 1, 2, 3) and N+ ions and associated neutrals, produced the growth of UNCD films with N atoms incorporated in the grain boundaries, defining these films as N-UNCD [4, 341], which have been demonstrated to exhibit superb electron field emission properties.
N-UNCD films were grown on a range of silicon substrates with varying microstructure, such as sharpened tips (Fig. 45a), elongated ridges (Figs. 45b,c), and planar surfaces (Fig. 45d). The current–voltage characteristics were measured for each topography in high vacuum (~ 10–7 Torr). Lifetime tests were also performed for a representative geometry in high vacuum (~ 10–8 Torr) to determine the viability of N-UNCD cold cathodes for use in long-duration space missions and other devices used in the Earth environment.
N-UNCD-coated Si cathodes based on MEMS tips and ridges structures (Fig. 45a–c) and flat N-UNCD cathode (Fig. 45d), all exhibited electric field emission of ~ 3 V/µm (Fig. 46a), which is one of the lowest among field emission devices demonstrated today [342]. The explanation for emission field from flat N-UNCD surface similar to emission from tips and ridges is that the electron emission is coming from grain boundaries of the N-UNCD films, which are ~ 1–2 nm wide, concentrating voltages very efficiently to emit electrons at low electric fields, and the grain boundaries emission also dominate emission from tips and edges of ridges, which have much larger dimensions (≥ 100 nm) that the grain boundaries. Another key result from the electron field emission measurement from a N-UNCD-coated tip array is that they exhibited tens of microamps of emission current with little degradation for 1000 h (Fig. 46b) (the longest stable electron field emission demonstrated today from a field emission device).
Summarizing, electron field emission from N-UNCD-coated Si tip and ridge arrays and flat N-UNCD surfaces shows that N-UNCD films provide the basis for superior electron emission devices for multiple application in outer space and on Earth.

Conclusions

Based on the information presented in this review, the outlook for Microcrystalline Diamond (MCD), Nanocrystalline Diamond (NCD) and Ultrananocrystalline Diamond (UNCD) -based MEMS/NEMS technologies is promising from various points of view, namely: the superior mechanical, tribological, chemical corrosion resistant, electronic, and biocompatible properties demonstrated for diamond-based MEMS/NEMS devices provides the pathway for the development and marketing of new technologies using the superior diamond-based MEMS/NEMS devices, over for example Si-based MEMS impact sensors used in deployment of safety bags in cars, when in a high impact accident, MEMS devices measuring tires pressure, MEMS devices used in airplanes, external and implantable medical MEMS/NEMS sensors and drug delivery devices, and in many other applications.

Acknowledgements

O. Auciello acknowledges the support from the University of Texas at Dallas through his Distinguished Endowed Chair Professor position (2012-present) and the funding from DOE and DARPA, when working at Argonne National Laboratory, doing R&D for developing UNCD-based MEMS/NEMS (1996-2012). D.M. Aslam acknowledges the support provided by NSF Engineering Research Center (ERC) for Wireless Integrated Micro Systems (WIMS) during 2000–2010 awarded to University of Michigan, Michigan State University and Michigan Technological University. O. Auciello and D.M. Aslam are thankful to Dr. Zongliang Cao for providing some early written materials, over 10 years ago, that ultimately led to this detailed review. O. Auciello and D.M. Aslam acknowledge the great contributions of the many scientists, postdocs, and students, who contributed to the science and technology development of MEMS/NEMS devices/systems through many years, as indicated by the many references provided in this review article.

Compliance with ethical standards

Conflict of interest

The authors declare that they have no conflict of interest.
Open AccessThis article is licensed under a Creative Commons Attribution 4.0 International License, which permits use, sharing, adaptation, distribution and reproduction in any medium or format, as long as you give appropriate credit to the original author(s) and the source, provide a link to the Creative Commons licence, and indicate if changes were made. The images or other third party material in this article are included in the article's Creative Commons licence, unless indicated otherwise in a credit line to the material. If material is not included in the article's Creative Commons licence and your intended use is not permitted by statutory regulation or exceeds the permitted use, you will need to obtain permission directly from the copyright holder. To view a copy of this licence, visit http://​creativecommons.​org/​licenses/​by/​4.​0/​.

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.
insite
CONTENT
download
DOWNLOAD
print
PRINT
Literature
1.
go back to reference Gabriel KJ, Behi F, Mahadevan R, Mehregany M (1990) In situ friction and wear measurements in integrated polysilicon mechanisms. Sens Actuators A: Physical 21:184–188CrossRef Gabriel KJ, Behi F, Mahadevan R, Mehregany M (1990) In situ friction and wear measurements in integrated polysilicon mechanisms. Sens Actuators A: Physical 21:184–188CrossRef
2.
go back to reference Krauss AR, Auciello O, Gruen DM, Jayatissa A, Sumant AV, Tucek J, Mancini DC, Moldovan N, Erdemir A, Ersoy D, Gardos MN, Busmann HG, Meyer EM, Ding MQ (2001) Ultrananocrystalline diamond thin films for MEMS and moving mechanical assembly devices. Diam Relat Mater 10:1952–1961CrossRef Krauss AR, Auciello O, Gruen DM, Jayatissa A, Sumant AV, Tucek J, Mancini DC, Moldovan N, Erdemir A, Ersoy D, Gardos MN, Busmann HG, Meyer EM, Ding MQ (2001) Ultrananocrystalline diamond thin films for MEMS and moving mechanical assembly devices. Diam Relat Mater 10:1952–1961CrossRef
3.
go back to reference Luo JK, Fu YQ, Le HR, Williams JA, Spearing SM, Milne WI (2007) Diamond and diamond-like carbon MEMS. J Micromech Microeng 17:147–163CrossRef Luo JK, Fu YQ, Le HR, Williams JA, Spearing SM, Milne WI (2007) Diamond and diamond-like carbon MEMS. J Micromech Microeng 17:147–163CrossRef
4.
go back to reference Auciello O, Sumant AV (2010) Status review of the science and technology of ultrananocrystalline diamond (UNCD™) films and application to multifunctional devices. Diam Relat Mater 19:699–718CrossRef Auciello O, Sumant AV (2010) Status review of the science and technology of ultrananocrystalline diamond (UNCD™) films and application to multifunctional devices. Diam Relat Mater 19:699–718CrossRef
5.
go back to reference Spengen WMV, Puers R, Mertens R, Wolf ID (2003) A low frequency electrical test set-up for the reliability assessment of capacitive RF MEMS switches. J Micromech Microeng 13:604–612CrossRef Spengen WMV, Puers R, Mertens R, Wolf ID (2003) A low frequency electrical test set-up for the reliability assessment of capacitive RF MEMS switches. J Micromech Microeng 13:604–612CrossRef
6.
go back to reference Spearing SM, Chen KS (1998) Tribology issues and opportunities in MEMS. B. Bhushan (ed.) Kluwer Academic Publisher, The Netherlands Spearing SM, Chen KS (1998) Tribology issues and opportunities in MEMS. B. Bhushan (ed.) Kluwer Academic Publisher, The Netherlands
7.
go back to reference Rymuza Z, Kusznierewicz Z, Misiak M, Schrnidt-Szalowski K, Rzanek-Boroch Z, Sentek J (1998) Tribology issues and opportunities. Kluwer Academic Publisher, The Netherlands, p 579CrossRef Rymuza Z, Kusznierewicz Z, Misiak M, Schrnidt-Szalowski K, Rzanek-Boroch Z, Sentek J (1998) Tribology issues and opportunities. Kluwer Academic Publisher, The Netherlands, p 579CrossRef
10.
go back to reference Espinosa HD, Peng B, Moldovan N, Friedmann TA, Xiao X, Mancini DC, Auciello O, Carlisle JA, Zorman CA, Mehregany M (2006) Elasticity, strength, and toughness of single crystal silicon carbide, ultrananocrystalline diamond, and hydrogen free tetrahedral amorphous carbon. Appl Phys Lett 89:07311-1-07311–3CrossRef Espinosa HD, Peng B, Moldovan N, Friedmann TA, Xiao X, Mancini DC, Auciello O, Carlisle JA, Zorman CA, Mehregany M (2006) Elasticity, strength, and toughness of single crystal silicon carbide, ultrananocrystalline diamond, and hydrogen free tetrahedral amorphous carbon. Appl Phys Lett 89:07311-1-07311–3CrossRef
11.
go back to reference Meskinis S, Kopustinskas V, Slapikas K, Tamulevicius T, Guobiene A, Gudaitis R, Grigaliunas V (2006) In beam synthesis of the diamond like carbon films for nanoimprint lithography applications. Thin Solid Films 515:636–639CrossRef Meskinis S, Kopustinskas V, Slapikas K, Tamulevicius T, Guobiene A, Gudaitis R, Grigaliunas V (2006) In beam synthesis of the diamond like carbon films for nanoimprint lithography applications. Thin Solid Films 515:636–639CrossRef
12.
go back to reference Angus JC, Will HA, Stanko WS (1968) Growth of diamond seed crystals by vapor deposition. J Appl Phys 39:2915–2922CrossRef Angus JC, Will HA, Stanko WS (1968) Growth of diamond seed crystals by vapor deposition. J Appl Phys 39:2915–2922CrossRef
13.
go back to reference Deryagin BV, Fedoseev DV (1970) Epitaxial synthesis of diamond in the metastable region. Russ Chem Rev 39:783–788CrossRef Deryagin BV, Fedoseev DV (1970) Epitaxial synthesis of diamond in the metastable region. Russ Chem Rev 39:783–788CrossRef
15.
go back to reference Shenderova OA, Gruen DM (2006) Ultra nanocrystalline diamond: synthesis, properties, and applications. William Andrew Publishing, Burlington (Eds. of chapters by several authors) Shenderova OA, Gruen DM (2006) Ultra nanocrystalline diamond: synthesis, properties, and applications. William Andrew Publishing, Burlington (Eds. of chapters by several authors)
16.
go back to reference Aisenberg S, Chabot R (1971) Ion-beam deposition of thin films of diamondlike carbon. J Appl Phys 42:2953–2958CrossRef Aisenberg S, Chabot R (1971) Ion-beam deposition of thin films of diamondlike carbon. J Appl Phys 42:2953–2958CrossRef
17.
go back to reference Holland L, Ojha SM (1978) Infrared transparent and amorphous carbon grown under ion impact in a butane plasma. Thin Solid Films 48:L19–L20 CrossRef Holland L, Ojha SM (1978) Infrared transparent and amorphous carbon grown under ion impact in a butane plasma. Thin Solid Films 48:L19–L20 CrossRef
18.
go back to reference Weissmantel C, Bewilogua CK, Schürer C, Breuer K, Zscheile H (1979) Characterization of hard carbon films by electron energy loss spectrometry. Thin Solid Films 61:L1–L4CrossRef Weissmantel C, Bewilogua CK, Schürer C, Breuer K, Zscheile H (1979) Characterization of hard carbon films by electron energy loss spectrometry. Thin Solid Films 61:L1–L4CrossRef
19.
go back to reference Weissmantel C, Bewilogua K, Breuer K, Dietrich D, Ebersbach U, Erler HJ, Rau B, Reisse G (1982) Preparation and properties of hard i-C and i-BN coatings. Thin Solid Films 96:31–44CrossRef Weissmantel C, Bewilogua K, Breuer K, Dietrich D, Ebersbach U, Erler HJ, Rau B, Reisse G (1982) Preparation and properties of hard i-C and i-BN coatings. Thin Solid Films 96:31–44CrossRef
20.
go back to reference Riley DR (1944) Lattice constant of diamond and the C-C Single Bond. Nature 153:587–588CrossRef Riley DR (1944) Lattice constant of diamond and the C-C Single Bond. Nature 153:587–588CrossRef
21.
go back to reference Fong CY, Klein BM (1995) Electronic and vibrational properties of bulk diamond. In: Pan LS, Kania DR (eds) Diamond: electronic properties and applications. Kluwer Academic publisher, Boston, pp 1–29 Fong CY, Klein BM (1995) Electronic and vibrational properties of bulk diamond. In: Pan LS, Kania DR (eds) Diamond: electronic properties and applications. Kluwer Academic publisher, Boston, pp 1–29
22.
go back to reference Painter GS, Ellis DE, Lubinsky AR (1971) Ab Initio calculations of the electronic structure and optical properties of diamond using the discrete variational method. Phys Rev B 4:3610–3622CrossRef Painter GS, Ellis DE, Lubinsky AR (1971) Ab Initio calculations of the electronic structure and optical properties of diamond using the discrete variational method. Phys Rev B 4:3610–3622CrossRef
23.
go back to reference Sahli S (1997) Electronic Characterization and fabrication of CVD diamond piezo-resistive pressure sensors. Ph.D Dissertation, Michigan State University. Sahli S (1997) Electronic Characterization and fabrication of CVD diamond piezo-resistive pressure sensors. Ph.D Dissertation, Michigan State University.
24.
go back to reference Miyoshi K (1998) Structures and mechanical properties of natural and synthetic diamond, report. NASA, Lewis Research Center, Cleveland, Ohio 107249, Chapter 8. Miyoshi K (1998) Structures and mechanical properties of natural and synthetic diamond, report. NASA, Lewis Research Center, Cleveland, Ohio 107249, Chapter 8.
25.
go back to reference Butler JE, Windischmann H (1998) Development in CVD-diamond synthesis during the past decades. MRS Bull 23:22–27CrossRef Butler JE, Windischmann H (1998) Development in CVD-diamond synthesis during the past decades. MRS Bull 23:22–27CrossRef
26.
go back to reference Prelas MA (ed) (2018) Handbook of industrial diamonds and diamond films. Routledge, Chichester Prelas MA (ed) (2018) Handbook of industrial diamonds and diamond films. Routledge, Chichester
27.
go back to reference Butler JE, Sumant AV (2008) The CVD of nano diamond materials. Chem Vap Depos 14:145–160CrossRef Butler JE, Sumant AV (2008) The CVD of nano diamond materials. Chem Vap Depos 14:145–160CrossRef
28.
go back to reference Philip J, Hess P, Feygelson F, Butler JE, Chattopadhyay S, Chen KH, Chen LC (2003) Elastic, mechanical, and thermal properties of nanocrystalline diamond films. J Appl Phys 93:2164–2171CrossRef Philip J, Hess P, Feygelson F, Butler JE, Chattopadhyay S, Chen KH, Chen LC (2003) Elastic, mechanical, and thermal properties of nanocrystalline diamond films. J Appl Phys 93:2164–2171CrossRef
29.
go back to reference Sekaric L, Parpia JM, Craighead HG, Feygelson T, Houston BH, Butler JE (2002) Nanomechanical resonant structures in nanocyrstalline diamond. Appl Phys Lett 81:4455–4457CrossRef Sekaric L, Parpia JM, Craighead HG, Feygelson T, Houston BH, Butler JE (2002) Nanomechanical resonant structures in nanocyrstalline diamond. Appl Phys Lett 81:4455–4457CrossRef
30.
go back to reference Kohn E, Gluche EP, Adamschik M (1999) Diamond MEMs—a new emerging technology. Diam Relat Mater 8:934–940CrossRef Kohn E, Gluche EP, Adamschik M (1999) Diamond MEMs—a new emerging technology. Diam Relat Mater 8:934–940CrossRef
31.
go back to reference Guillen FJH, Janischowsky K, Kusterer J, Ebert W, Kohn E (2005) Mechanical characterization and stress engineering of nanocrystalline. Diam Relat Mater 14:411–415CrossRef Guillen FJH, Janischowsky K, Kusterer J, Ebert W, Kohn E (2005) Mechanical characterization and stress engineering of nanocrystalline. Diam Relat Mater 14:411–415CrossRef
32.
go back to reference Williams OA, Daenen M, D’Haen J, Haenen K, Maes J, Moshchalkov VV, Nesládek M, Gruen DM (2006) Comparison of the growth and properties of ultrananocrystalline diamond and nanocrystalline diamond. Diamond Related Mater 15:654–658CrossRef Williams OA, Daenen M, D’Haen J, Haenen K, Maes J, Moshchalkov VV, Nesládek M, Gruen DM (2006) Comparison of the growth and properties of ultrananocrystalline diamond and nanocrystalline diamond. Diamond Related Mater 15:654–658CrossRef
33.
go back to reference Naguib N, Birrell J, Elam J, Carlisle JA, Auciello O (2006) A method to grow carbon thin films consisting entirely of diamond grains 3–5 nm in size and high-energy grain boundaries. US Patent #7,128,8893, 7,556,982. Naguib N, Birrell J, Elam J, Carlisle JA, Auciello O (2006) A method to grow carbon thin films consisting entirely of diamond grains 3–5 nm in size and high-energy grain boundaries. US Patent #7,128,8893, 7,556,982.
34.
go back to reference McKenzie DR, Muller D, Pailthorpe BA (1991) Compressive-stress-induced formation of thin-film tetrahedral amorphous. Phys Rev Lett 67:773–776CrossRef McKenzie DR, Muller D, Pailthorpe BA (1991) Compressive-stress-induced formation of thin-film tetrahedral amorphous. Phys Rev Lett 67:773–776CrossRef
35.
go back to reference Yik YCK, Deraman K, Kit OW, Shamsuri WNW, Husin R (2014) Undoped diamond-like carbon thin films grown by direct current-plasma enhanced chemical vapor deposition: structural and electrical properties. Adv Mater Res 970:136–139CrossRef Yik YCK, Deraman K, Kit OW, Shamsuri WNW, Husin R (2014) Undoped diamond-like carbon thin films grown by direct current-plasma enhanced chemical vapor deposition: structural and electrical properties. Adv Mater Res 970:136–139CrossRef
36.
go back to reference Weiler M, Sattel S, Jung K, Ehrhardt H, Veerasamy VS, Robertson J (1994) Highly tetrahedral, diamond-like amorphous hydrogenated carbon prepared from a plasma beam source. Appl Phys Lett 64:2797–2799CrossRef Weiler M, Sattel S, Jung K, Ehrhardt H, Veerasamy VS, Robertson J (1994) Highly tetrahedral, diamond-like amorphous hydrogenated carbon prepared from a plasma beam source. Appl Phys Lett 64:2797–2799CrossRef
37.
go back to reference Zuo SS (2009) Microwave Plasma-Assisted CVD Polycrystalline Diamond Films Deposition at Higher Pressure Conditions. Ph.D. Dissertation, Michigan State University. Zuo SS (2009) Microwave Plasma-Assisted CVD Polycrystalline Diamond Films Deposition at Higher Pressure Conditions. Ph.D. Dissertation, Michigan State University.
38.
go back to reference Ferreira NG, Abramof A, Corat EJ, Trava-Airoldi VJ (2003) Residual stress and crystalline quality of heavily boron-doped diamond films analyzed by micro-Raman spectroscopy and X-ray diffraction. Carbon 41:1301–1308CrossRef Ferreira NG, Abramof A, Corat EJ, Trava-Airoldi VJ (2003) Residual stress and crystalline quality of heavily boron-doped diamond films analyzed by micro-Raman spectroscopy and X-ray diffraction. Carbon 41:1301–1308CrossRef
39.
go back to reference Gupta P (2000) Synthesis, Structure and Properties of Nanolayered DLC/DLC Films. Ph.D Dissertation, Louisiana State University. Gupta P (2000) Synthesis, Structure and Properties of Nanolayered DLC/DLC Films. Ph.D Dissertation, Louisiana State University.
40.
go back to reference Hu ZG, Prunici P, Hess H, Chen KH (2007) Optical properties of nanocrystalline diamond films from mid-infrared to ultraviolet using reflectometry and ellipsometry. J Mater Sci Mater Electr 18:37–41CrossRef Hu ZG, Prunici P, Hess H, Chen KH (2007) Optical properties of nanocrystalline diamond films from mid-infrared to ultraviolet using reflectometry and ellipsometry. J Mater Sci Mater Electr 18:37–41CrossRef
41.
go back to reference Sillero E, Williams OA, Lebedev V, Cimalla V, Röhlig CC, Nebel CR, Calle F (2009) Static and dynamic determination of the mechanical properties of nanocrystalline diamond micromachined structures. J Micromech Microeng 19:1–6CrossRef Sillero E, Williams OA, Lebedev V, Cimalla V, Röhlig CC, Nebel CR, Calle F (2009) Static and dynamic determination of the mechanical properties of nanocrystalline diamond micromachined structures. J Micromech Microeng 19:1–6CrossRef
42.
go back to reference Balachandran S, Kusterer J, Maier D, Dipalo M, Weller T, Kohn E (2008) High power nanocrystalline diamond RF MEMS- A combined look at mechanical and microwave properties. In: International microwaves, communications, antennas and electronic systems conference, pp 1–8 Balachandran S, Kusterer J, Maier D, Dipalo M, Weller T, Kohn E (2008) High power nanocrystalline diamond RF MEMS- A combined look at mechanical and microwave properties. In: International microwaves, communications, antennas and electronic systems conference, pp 1–8
43.
go back to reference Espinosa HD, Prorok BC, Peng B, Kim KH, Moldovan N, Auciello O, Carlisle JA, Gruen DM, Mancini DC (2003) Mechanical properties of ultrananocrystalline diamond thin films relevant to MEMS/NEMS Devices. Exp Mech 43:256–268CrossRef Espinosa HD, Prorok BC, Peng B, Kim KH, Moldovan N, Auciello O, Carlisle JA, Gruen DM, Mancini DC (2003) Mechanical properties of ultrananocrystalline diamond thin films relevant to MEMS/NEMS Devices. Exp Mech 43:256–268CrossRef
44.
go back to reference Maier F, Riedel M, Mantel B, Ristein J, Ley L (2000) Origin of surface conductivity in diamond. Phys Rev Lett 85:3472–3475CrossRef Maier F, Riedel M, Mantel B, Ristein J, Ley L (2000) Origin of surface conductivity in diamond. Phys Rev Lett 85:3472–3475CrossRef
45.
go back to reference Ristein J, Maier F, Riedel R, Stammer M, Ley L (2001) Diamond surface conductivity experiments and photoelectron spectroscopy. Diam Relat Mater 10:416–422CrossRef Ristein J, Maier F, Riedel R, Stammer M, Ley L (2001) Diamond surface conductivity experiments and photoelectron spectroscopy. Diam Relat Mater 10:416–422CrossRef
46.
go back to reference Ristein J, Riedel M, Ley L (2004) Electrochemical surface transfer doping. J Electrochem Soc 151:315–321CrossRef Ristein J, Riedel M, Ley L (2004) Electrochemical surface transfer doping. J Electrochem Soc 151:315–321CrossRef
47.
go back to reference Behravan M (2005) Electrical Conductivity of Heteroepitaxial Diamond. Ph.D Dissertation, Michigan State University. Behravan M (2005) Electrical Conductivity of Heteroepitaxial Diamond. Ph.D Dissertation, Michigan State University.
48.
go back to reference Halliday D, Resnick R, Walker J (1997) Fundamentals of physics extended, vol 5. Wiley, Hoboken Halliday D, Resnick R, Walker J (1997) Fundamentals of physics extended, vol 5. Wiley, Hoboken
49.
go back to reference Pierson HO (2004) Handbook of Carbon, Graphite, Diamond and Fullerenes – Properties Processing and application. William Andrew Publishing/Noyes, Wolverhampton Pierson HO (2004) Handbook of Carbon, Graphite, Diamond and Fullerenes – Properties Processing and application. William Andrew Publishing/Noyes, Wolverhampton
50.
go back to reference Beck C and Ahmed M (2004), Diamond – Chemically Vapor Deposited Diamond, Azom. Beck C and Ahmed M (2004), Diamond – Chemically Vapor Deposited Diamond, Azom.
51.
go back to reference Muto Y, Sugino T, Shirafuji J (1991) Electrical conduction in undoped diamond films prepared by chemical vapor deposition. Appl Phys Lett 59:843–845CrossRef Muto Y, Sugino T, Shirafuji J (1991) Electrical conduction in undoped diamond films prepared by chemical vapor deposition. Appl Phys Lett 59:843–845CrossRef
52.
go back to reference Huang B, Reinhard DK (1991) Electric field—dependent conductivity of polycrystalline diamond thin films. Appl Phys Lett 59:1494–1496CrossRef Huang B, Reinhard DK (1991) Electric field—dependent conductivity of polycrystalline diamond thin films. Appl Phys Lett 59:1494–1496CrossRef
53.
go back to reference Werner M, Dorsch O, Hinze A, Obermeier E, Haper RE, Johnston C, Chalker PR, Buckley-Golder IM (1993) Space-charge-limited current flow and trap density in undoped diamond films. Diam Relat Mater 13:825–828CrossRef Werner M, Dorsch O, Hinze A, Obermeier E, Haper RE, Johnston C, Chalker PR, Buckley-Golder IM (1993) Space-charge-limited current flow and trap density in undoped diamond films. Diam Relat Mater 13:825–828CrossRef
54.
go back to reference Sugino T, Muto Y, Shirafuji J, Kobashi K (1993) Electrical conduction mechanisms in polycrystalline chemically vapor-deposited diamond films. Diam Relat Mater 2:797–802CrossRef Sugino T, Muto Y, Shirafuji J, Kobashi K (1993) Electrical conduction mechanisms in polycrystalline chemically vapor-deposited diamond films. Diam Relat Mater 2:797–802CrossRef
55.
go back to reference Jin S, Moustakas TD (1993) Electrical conductivity studies of diamond films prepared by electron cyclotron resonance microwave plasma. Appl Phys Lett 63:2354–2356CrossRef Jin S, Moustakas TD (1993) Electrical conductivity studies of diamond films prepared by electron cyclotron resonance microwave plasma. Appl Phys Lett 63:2354–2356CrossRef
56.
go back to reference Kulkarni AK, Shrotriya A, Cheng P, Rodrigo H, Bashyam R, Keeble DJ (1994) Electrical properties of diamond thin films grown by chemical vapor deposition technique. Thin Solid Films 253:141–145CrossRef Kulkarni AK, Shrotriya A, Cheng P, Rodrigo H, Bashyam R, Keeble DJ (1994) Electrical properties of diamond thin films grown by chemical vapor deposition technique. Thin Solid Films 253:141–145CrossRef
57.
go back to reference Kulkarni AK, Tey K, Rodrigo H (1995) Electrical characterization of CVD diamond thin films grown on silicon substrates. Thin Solid Films 270:189–193CrossRef Kulkarni AK, Tey K, Rodrigo H (1995) Electrical characterization of CVD diamond thin films grown on silicon substrates. Thin Solid Films 270:189–193CrossRef
58.
go back to reference De Cesare D, Salvatori S, Vincenzoni R, Ascarelli P, Cappelli E, Pinzari F, Galluzzi F (1995) On the electrical properties of polycrystalline diamond films on silicon. Diam Relat Mater 4:628–631CrossRef De Cesare D, Salvatori S, Vincenzoni R, Ascarelli P, Cappelli E, Pinzari F, Galluzzi F (1995) On the electrical properties of polycrystalline diamond films on silicon. Diam Relat Mater 4:628–631CrossRef
59.
go back to reference Sikder AK, Jacob AP, Sharda T, Misra DS, Pandey M, Kabiraj D, Avasthi DK (1998) DC electrical conductivity of chemical vapor deposited diamond sheets: a correlation with hydrogen content and paramagnetic defects. Thin Solid Films 332:98–102CrossRef Sikder AK, Jacob AP, Sharda T, Misra DS, Pandey M, Kabiraj D, Avasthi DK (1998) DC electrical conductivity of chemical vapor deposited diamond sheets: a correlation with hydrogen content and paramagnetic defects. Thin Solid Films 332:98–102CrossRef
60.
go back to reference Wang L, Xia Y, Ju J, Zhang W (2000) Electrical properties of chemical vapor deposition diamond films and electrical response to X-ray. Diam Relat Mater 9:1617–1620CrossRef Wang L, Xia Y, Ju J, Zhang W (2000) Electrical properties of chemical vapor deposition diamond films and electrical response to X-ray. Diam Relat Mater 9:1617–1620CrossRef
61.
go back to reference Lee BJ, Ahn BT, Lee JK, Baik YJ (2001) A study on the conduction path in undoped polycrystalline diamond films. Diam Relat Mater 10:2174–2177CrossRef Lee BJ, Ahn BT, Lee JK, Baik YJ (2001) A study on the conduction path in undoped polycrystalline diamond films. Diam Relat Mater 10:2174–2177CrossRef
62.
go back to reference Su Q, Lu J, Wang L, Liu J, Ruan J, Cui J, Shi W, Xia Y (2005) Electrical properties of [100]-oriented CVD diamond film. Solid-State Electr 49:1044–1048CrossRef Su Q, Lu J, Wang L, Liu J, Ruan J, Cui J, Shi W, Xia Y (2005) Electrical properties of [100]-oriented CVD diamond film. Solid-State Electr 49:1044–1048CrossRef
63.
go back to reference Correa EJ, Wu Y, Wen J, Chandrasekharan R, Shannon M (2007) Electrical conduction in undoped ultrananocrystalline diamond thin films and its dependence on chemical composition and crystalline structure. J Appl Phys 102:113706-1-113706–10CrossRef Correa EJ, Wu Y, Wen J, Chandrasekharan R, Shannon M (2007) Electrical conduction in undoped ultrananocrystalline diamond thin films and its dependence on chemical composition and crystalline structure. J Appl Phys 102:113706-1-113706–10CrossRef
64.
go back to reference Stoner BR, Glass JT, Bergman L, Nemanich RJ, Zoltal LD, Vandersande JW (1992) Electrical conductivity and photoluminescence of diamond films grown by downstream microwave plasma CVD. J Electron Mater 21:629–634CrossRef Stoner BR, Glass JT, Bergman L, Nemanich RJ, Zoltal LD, Vandersande JW (1992) Electrical conductivity and photoluminescence of diamond films grown by downstream microwave plasma CVD. J Electron Mater 21:629–634CrossRef
65.
go back to reference Smith CS (1954) Piezoresistance effect in germanium and silicon. Phys Rev 94:42–49CrossRef Smith CS (1954) Piezoresistance effect in germanium and silicon. Phys Rev 94:42–49CrossRef
66.
go back to reference Taher I (1994) CVD Diamond Piezo-resistive Microsensors. Ph.D Dissertation, Michigan State University. Taher I (1994) CVD Diamond Piezo-resistive Microsensors. Ph.D Dissertation, Michigan State University.
67.
go back to reference Taher I, Aslam DM, Tamor MA, Potter TJ, Elder RC (1994) Piezo-resistive microsensors using p-type CVD diamond films. Sens Actuators A 45:35–43CrossRef Taher I, Aslam DM, Tamor MA, Potter TJ, Elder RC (1994) Piezo-resistive microsensors using p-type CVD diamond films. Sens Actuators A 45:35–43CrossRef
68.
go back to reference Fang F, Wang WL, Ding PD, Liao KJ, Wang J (1999) Study on the piezo-resistive effect of crystalline and polycrystalline diamond under uniaxial strains. J Appl Phys 86:5186–5193CrossRef Fang F, Wang WL, Ding PD, Liao KJ, Wang J (1999) Study on the piezo-resistive effect of crystalline and polycrystalline diamond under uniaxial strains. J Appl Phys 86:5186–5193CrossRef
69.
go back to reference Mandurah MM, Saraswat KC, Helms CR, Kamins TI (1980) Dopant segregation in polycrystalline silicon. Jpn Appl Phys 51:5755–5763CrossRef Mandurah MM, Saraswat KC, Helms CR, Kamins TI (1980) Dopant segregation in polycrystalline silicon. Jpn Appl Phys 51:5755–5763CrossRef
70.
go back to reference French PJ, Evans AGR (1984) Piezoresistance in polysilicon. Electr Lett 20:999–1000CrossRef French PJ, Evans AGR (1984) Piezoresistance in polysilicon. Electr Lett 20:999–1000CrossRef
71.
go back to reference Tang Y, Aslam DM, Wang J, Wise KD (2006) Study of polycrystalline diamond piezo-resistive position sensors for application in cochlear implant probe. Diam Relat Mater 15:199–202CrossRef Tang Y, Aslam DM, Wang J, Wise KD (2006) Study of polycrystalline diamond piezo-resistive position sensors for application in cochlear implant probe. Diam Relat Mater 15:199–202CrossRef
72.
go back to reference Morrish AA, Pehrsson PE (1991) Effects of surface pretreatments on nucleation and growth of diamond films on a variety of substrates. Appl Phys Lett 59:417–419CrossRef Morrish AA, Pehrsson PE (1991) Effects of surface pretreatments on nucleation and growth of diamond films on a variety of substrates. Appl Phys Lett 59:417–419CrossRef
73.
go back to reference Demuynck L, Arnault JC, Speisser C, Polini R, LeNormand F (1997) Mechanisms of CVD diamond nucleation and growth on mechanically scratched and virgin Si(100) surfaces. Diam Relat Mater 6:235–239CrossRef Demuynck L, Arnault JC, Speisser C, Polini R, LeNormand F (1997) Mechanisms of CVD diamond nucleation and growth on mechanically scratched and virgin Si(100) surfaces. Diam Relat Mater 6:235–239CrossRef
74.
go back to reference Dennig PA, Shiomi H, Stevenson DA, Johnson NM (1992) Influence of substrate treatments on diamond thin-film nucleation. Thin Solid Films 212:63–67CrossRef Dennig PA, Shiomi H, Stevenson DA, Johnson NM (1992) Influence of substrate treatments on diamond thin-film nucleation. Thin Solid Films 212:63–67CrossRef
75.
go back to reference Bienk EJ, Eskildsen SS (1993) The effect of surface preparation on the nucleation of diamond on silicon. Diam Relat Mater 2:432–437CrossRef Bienk EJ, Eskildsen SS (1993) The effect of surface preparation on the nucleation of diamond on silicon. Diam Relat Mater 2:432–437CrossRef
76.
go back to reference Lauten FS, Shigesato Y, Sheldon BW (1994) Diamond nucleation on unscratched SiO2 substrates. Appl Phys Lett 65:210–212CrossRef Lauten FS, Shigesato Y, Sheldon BW (1994) Diamond nucleation on unscratched SiO2 substrates. Appl Phys Lett 65:210–212CrossRef
77.
go back to reference Chiang MJ, Hon MH (2001) Positive dc bias-enhanced diamond nucleation with high CH4 concentration. Diam Relat Mater 10:1470–1476CrossRef Chiang MJ, Hon MH (2001) Positive dc bias-enhanced diamond nucleation with high CH4 concentration. Diam Relat Mater 10:1470–1476CrossRef
78.
go back to reference Irwin MD , Pantano CG, Gluche PE, Kohn E (1997) Bias-enhanced nucleation of diamond on silicon dioxide. Applied Physics Letters 71:716–718. Irwin MD , Pantano CG, Gluche PE, Kohn E (1997) Bias-enhanced nucleation of diamond on silicon dioxide. Applied Physics Letters 71:716–718.
79.
go back to reference Masood A, Aslam DM, Tamor MA, Potter TJ (1991) Techniques for patterning of CVD diamond films on non-diamond substrates. J Electrochem Soc 138:L67–L68CrossRef Masood A, Aslam DM, Tamor MA, Potter TJ (1991) Techniques for patterning of CVD diamond films on non-diamond substrates. J Electrochem Soc 138:L67–L68CrossRef
80.
go back to reference Yang GS, Aslam DM, Kuo KP, Reinhard DK, Asmussen J (1995) Effect of ultrahigh nucleation density on diamond growth at different growth-rates and temperatures. J Vac Sci Technol, B 13:1030–1036CrossRef Yang GS, Aslam DM, Kuo KP, Reinhard DK, Asmussen J (1995) Effect of ultrahigh nucleation density on diamond growth at different growth-rates and temperatures. J Vac Sci Technol, B 13:1030–1036CrossRef
81.
go back to reference Malshe AP, Beera RA, Khanolkar AA, Brown WD, Naseem HA (1997) Initial results of a novel pre-deposition seeding technique for achieving an ultrahigh nucleation density for CVD diamond growth. Diam Relat Mater 6:430–434CrossRef Malshe AP, Beera RA, Khanolkar AA, Brown WD, Naseem HA (1997) Initial results of a novel pre-deposition seeding technique for achieving an ultrahigh nucleation density for CVD diamond growth. Diam Relat Mater 6:430–434CrossRef
82.
go back to reference Tang Y (2006) Polycrystalline Diamond (PCD) Technology and Piezo-resistive Sensor Application for Cochlear Prosthesis. Ph.D Dissertation, Michigan State University. Tang Y (2006) Polycrystalline Diamond (PCD) Technology and Piezo-resistive Sensor Application for Cochlear Prosthesis. Ph.D Dissertation, Michigan State University.
83.
go back to reference Bachmann PK, Leers D, Lydtin H (1991) Towards a general concept of diamond chemical vapor deposition. Diam Relat Mater 1:1–12CrossRef Bachmann PK, Leers D, Lydtin H (1991) Towards a general concept of diamond chemical vapor deposition. Diam Relat Mater 1:1–12CrossRef
84.
go back to reference Harris S, Martin LR (1990) Methyl versus Acetylene as diamond growth species. J Mater Res 5:2313–2319CrossRef Harris S, Martin LR (1990) Methyl versus Acetylene as diamond growth species. J Mater Res 5:2313–2319CrossRef
85.
go back to reference Spitsyn BV, Popovic G, Prelas MA (1993) Problems of diamond film doping. In: Yoshikawa M, Murakawa M, Tzeng Y , Yarbrough WA (eds) 2nd International conference on the application of diamond films and related materials. Tokyo, pp 57–64. Spitsyn BV, Popovic G, Prelas MA (1993) Problems of diamond film doping. In: Yoshikawa M, Murakawa M, Tzeng Y , Yarbrough WA (eds) 2nd International conference on the application of diamond films and related materials. Tokyo, pp 57–64.
86.
go back to reference Gildneblat GSH, Grot SA, Badzian A (1991) The electrical properties and device applications of homoepitaxial and polycrystalline diamond films. Proc IEEE 79:647–667CrossRef Gildneblat GSH, Grot SA, Badzian A (1991) The electrical properties and device applications of homoepitaxial and polycrystalline diamond films. Proc IEEE 79:647–667CrossRef
87.
go back to reference Sahli S, Aslam DM (1996) Effect of postdeposition anneal on the resistivity of p-type polycrystalline diamond films. Appl Phys Lett 69:2051–2052CrossRef Sahli S, Aslam DM (1996) Effect of postdeposition anneal on the resistivity of p-type polycrystalline diamond films. Appl Phys Lett 69:2051–2052CrossRef
88.
go back to reference Zhang X, Guo J, Yao Y (1992) Boron doping of diamond films by B2O3 vaporization. Phys Status Solidi A 133:377–383CrossRef Zhang X, Guo J, Yao Y (1992) Boron doping of diamond films by B2O3 vaporization. Phys Status Solidi A 133:377–383CrossRef
89.
go back to reference Ruan J, Kobashi K, Choyke WJ (1992) Effect of oxygen on boron doping in chemical vapor-deposition of diamond as deduced from cathodoluminescence studies. Appl Phys Lett 60:1884–1886CrossRef Ruan J, Kobashi K, Choyke WJ (1992) Effect of oxygen on boron doping in chemical vapor-deposition of diamond as deduced from cathodoluminescence studies. Appl Phys Lett 60:1884–1886CrossRef
90.
go back to reference Takada T, Fukunaga T, Hayashi K, Yokota Y, Tachibana T, Miyata K et al (2000) Boron-doped diamond thin film sensor for detection of various semiconductor manufacturing gases. Sensors Actuators A Phys 82:97–101CrossRef Takada T, Fukunaga T, Hayashi K, Yokota Y, Tachibana T, Miyata K et al (2000) Boron-doped diamond thin film sensor for detection of various semiconductor manufacturing gases. Sensors Actuators A Phys 82:97–101CrossRef
91.
go back to reference Cifre J, Puigdollers J, Polo C, Esteve J (1994) Trimethylboron doping of CVD diamond thin films. Diam Relat Mater 3:628–631CrossRef Cifre J, Puigdollers J, Polo C, Esteve J (1994) Trimethylboron doping of CVD diamond thin films. Diam Relat Mater 3:628–631CrossRef
92.
go back to reference Prins JF (1992) Ion-implantation and diamond - some recent results on growth and doping. Thin Solid Films 212:11–18CrossRef Prins JF (1992) Ion-implantation and diamond - some recent results on growth and doping. Thin Solid Films 212:11–18CrossRef
93.
go back to reference Prins JF (2002) Implantation-doping of diamond with B+, C+, N+ and O+ ions using low temperature annealing. Diam Relat Mater 11:612–617CrossRef Prins JF (2002) Implantation-doping of diamond with B+, C+, N+ and O+ ions using low temperature annealing. Diam Relat Mater 11:612–617CrossRef
94.
go back to reference Kalish R (1997) Ion-implantation in diamond and diamond films: Doping, damage effects and their applications. Appl Surf Sci 117:558–569CrossRef Kalish R (1997) Ion-implantation in diamond and diamond films: Doping, damage effects and their applications. Appl Surf Sci 117:558–569CrossRef
95.
go back to reference Borst TH, Weis O (1996) Boron-doped homoepitaxial Diamond layers: Fabrication, characterization and electronic applications. Phys Status Solidi A 154:423–444CrossRef Borst TH, Weis O (1996) Boron-doped homoepitaxial Diamond layers: Fabrication, characterization and electronic applications. Phys Status Solidi A 154:423–444CrossRef
96.
go back to reference Kohn E, Ebert W, Aleksov A, Adamschik M, Schmid P (2002) Diamond technology for electronics and MEMS review of status and perspectives. In: 23rd International conference on microelectronics, vol 1, pp 59–66. Kohn E, Ebert W, Aleksov A, Adamschik M, Schmid P (2002) Diamond technology for electronics and MEMS review of status and perspectives. In: 23rd International conference on microelectronics, vol 1, pp 59–66.
97.
go back to reference Chrenko RM (1973) Boron, the dominant acceptor in semiconducting diamond. Phys Rev B 7:4560–4567CrossRef Chrenko RM (1973) Boron, the dominant acceptor in semiconducting diamond. Phys Rev B 7:4560–4567CrossRef
98.
go back to reference Werner M, Job R, Zaitzev A, Fahrner WR, Seifert W, Johnson C, Chalker PR (1996) The relationship between resistivity and boron doping concentration of single and polycrystalline diamond. Phys Status Solidi A 154:385–393CrossRef Werner M, Job R, Zaitzev A, Fahrner WR, Seifert W, Johnson C, Chalker PR (1996) The relationship between resistivity and boron doping concentration of single and polycrystalline diamond. Phys Status Solidi A 154:385–393CrossRef
99.
go back to reference Borst TH, Weis O (1995) Electrical characterization of homoepitaxial diamond films doped with B, P and Na during crystal growth. Diam Relat Mater 4:948–953CrossRef Borst TH, Weis O (1995) Electrical characterization of homoepitaxial diamond films doped with B, P and Na during crystal growth. Diam Relat Mater 4:948–953CrossRef
100.
go back to reference Malshe AP, Park BS, Brown WD, Naseem HA (1999) A review of techniques for polishing and planarizing chemically vapor-deposited (CVD) diamond films and substrates. Diam Relat Mater 8:1198–1213CrossRef Malshe AP, Park BS, Brown WD, Naseem HA (1999) A review of techniques for polishing and planarizing chemically vapor-deposited (CVD) diamond films and substrates. Diam Relat Mater 8:1198–1213CrossRef
101.
go back to reference Ohashi H, Ishiguro E, Sasano T, Shobatake K (1996) Synchrotron radiation excited etching of diamond. Appl Phys Lett 68:3713–3715CrossRef Ohashi H, Ishiguro E, Sasano T, Shobatake K (1996) Synchrotron radiation excited etching of diamond. Appl Phys Lett 68:3713–3715CrossRef
102.
go back to reference Massod A, Aslam DM, Tamor MA, Potter TJ (1991) Techniques for patterning of CVD diamond films on non-diamond substrate. J Electrochem Soc 138:L67-68CrossRef Massod A, Aslam DM, Tamor MA, Potter TJ (1991) Techniques for patterning of CVD diamond films on non-diamond substrate. J Electrochem Soc 138:L67-68CrossRef
103.
go back to reference Roppel R, Ramesham R, Lee SY (1992) Thin film diamond microstructures. Thin Solid Films 212:56–62CrossRef Roppel R, Ramesham R, Lee SY (1992) Thin film diamond microstructures. Thin Solid Films 212:56–62CrossRef
104.
go back to reference Davidson JL, Ellis C, Ramesham R (1990) Selective deposition of diamond films. New Diamond 6:29–32 Davidson JL, Ellis C, Ramesham R (1990) Selective deposition of diamond films. New Diamond 6:29–32
105.
go back to reference Inoue T, Tachibana T, Kumagai K, Miyata K, Nishimura K, Kobashi K, Nakaue A (1990) Selected-area deposition of diamond films. J Appl Phys 67:7329–7336CrossRef Inoue T, Tachibana T, Kumagai K, Miyata K, Nishimura K, Kobashi K, Nakaue A (1990) Selected-area deposition of diamond films. J Appl Phys 67:7329–7336CrossRef
106.
go back to reference Hirabayashi K, Taniguchi Y, Takamatsu O, Ikeda T, Ikoma K, Kurihara NI (1988) Selective deposition of diamond crystals by chemical vapor deposition using a tungsten-filament method. Appl Phys Lett 53:1815–1817CrossRef Hirabayashi K, Taniguchi Y, Takamatsu O, Ikeda T, Ikoma K, Kurihara NI (1988) Selective deposition of diamond crystals by chemical vapor deposition using a tungsten-filament method. Appl Phys Lett 53:1815–1817CrossRef
107.
go back to reference Shiomi H, Nakahata H, Imai T, Nishibayashi Y, Fujimori N (1989) Electrical characteristics of metal contacts to boron-doped diamond epitaxial films. Jpn J Appl Phys 28:758–862CrossRef Shiomi H, Nakahata H, Imai T, Nishibayashi Y, Fujimori N (1989) Electrical characteristics of metal contacts to boron-doped diamond epitaxial films. Jpn J Appl Phys 28:758–862CrossRef
108.
go back to reference Grot SA, Gildenblat GS, Wronski CW, Badzian AR, Badzian T, Messier R (1990) The effect of surface treatment on the electrical properties of metal contacts to boron-doped homoepitaxial diamond film. IEEE Electron Device Lett 11:100–102CrossRef Grot SA, Gildenblat GS, Wronski CW, Badzian AR, Badzian T, Messier R (1990) The effect of surface treatment on the electrical properties of metal contacts to boron-doped homoepitaxial diamond film. IEEE Electron Device Lett 11:100–102CrossRef
109.
go back to reference Nakanishi J, Otsuki A, Oku T (1994) Formation of ohmic contacts to p-type diamond using carbide forming metals. J Appl Phys 76:2293–2298CrossRef Nakanishi J, Otsuki A, Oku T (1994) Formation of ohmic contacts to p-type diamond using carbide forming metals. J Appl Phys 76:2293–2298CrossRef
110.
go back to reference Werner M, Johnson C, Chalker PR, Romani S, Buckley-Golder IM (1996) Electrical characterization of Al/Si ohmic contacts to heavily boron doped polycrystalline diamond films. J Appl Phys 79:2535–2541CrossRef Werner M, Johnson C, Chalker PR, Romani S, Buckley-Golder IM (1996) Electrical characterization of Al/Si ohmic contacts to heavily boron doped polycrystalline diamond films. J Appl Phys 79:2535–2541CrossRef
111.
go back to reference Tachibana T, Glass J (1995) Electrical contacts to diamond. In: Pan LS, Kania DR (eds) Diamond: electronic properties and applications. Kluwer Academic Publishers, Norwell Tachibana T, Glass J (1995) Electrical contacts to diamond. In: Pan LS, Kania DR (eds) Diamond: electronic properties and applications. Kluwer Academic Publishers, Norwell
112.
go back to reference Huang W, Chow TP, Yang J, Butler JE (2005) High-voltage diamond vertical Schottky rectifiers. In: Proceedings of the 17th international symposium on power semiconductor devices and IC’s. Huang W, Chow TP, Yang J, Butler JE (2005) High-voltage diamond vertical Schottky rectifiers. In: Proceedings of the 17th international symposium on power semiconductor devices and IC’s.
113.
go back to reference Werner M, Dorsch O, Baerwind HU, Obermeier E, Johnston C, Chalker PR, Romani R (1995) The effect of metallization on the ohmic contact resistivity to heavily B-doped polycrystalline diamond films. IEEE Trans Electron Dev 42:1344–2135CrossRef Werner M, Dorsch O, Baerwind HU, Obermeier E, Johnston C, Chalker PR, Romani R (1995) The effect of metallization on the ohmic contact resistivity to heavily B-doped polycrystalline diamond films. IEEE Trans Electron Dev 42:1344–2135CrossRef
114.
go back to reference Waytena GL, Hoff HA (1995) The use of double mask system to prevent Ti diffusion from a Ti/Pt/Au Ohmic contact on diamond. J Electrochem Soc 143:2392–2395CrossRef Waytena GL, Hoff HA (1995) The use of double mask system to prevent Ti diffusion from a Ti/Pt/Au Ohmic contact on diamond. J Electrochem Soc 143:2392–2395CrossRef
115.
go back to reference Chen Y, Ogura M, Yamasaki S, Okushi H (2005) Ohmic contact on p-type homoepitaxial diamond and their thermal stability. Semicond Sci Technol 20:860–863CrossRef Chen Y, Ogura M, Yamasaki S, Okushi H (2005) Ohmic contact on p-type homoepitaxial diamond and their thermal stability. Semicond Sci Technol 20:860–863CrossRef
116.
go back to reference Hoff HA, Waytena GL, Vold CL, Suehle JS, Issacson IP, Rebbert ML, Ma DI, Harris K (1996) Ohmic contacts to semiconducting diamond using a Ti/Pt/Au trilayer metallization scheme. Diam Relat Mater 5:1450–1456CrossRef Hoff HA, Waytena GL, Vold CL, Suehle JS, Issacson IP, Rebbert ML, Ma DI, Harris K (1996) Ohmic contacts to semiconducting diamond using a Ti/Pt/Au trilayer metallization scheme. Diam Relat Mater 5:1450–1456CrossRef
117.
go back to reference Iwasaki T, Okano K, Matsumae Y, Matsushima E, Maekawa H, Kiyota H, Kurosu T, Lida M (1993) Formation of ohmic contacts on semiconducting diamond grown by chemical vapor deposition. Diam Relat Mater 3:30–34CrossRef Iwasaki T, Okano K, Matsumae Y, Matsushima E, Maekawa H, Kiyota H, Kurosu T, Lida M (1993) Formation of ohmic contacts on semiconducting diamond grown by chemical vapor deposition. Diam Relat Mater 3:30–34CrossRef
118.
go back to reference Venkatesan V, Malta DM, Das K, Belu AM (1993) Evaluation of ohmic contacts formed by B+ implantation and Ti-Au metallization on diamond. J Appl Phys 74:1179–1187CrossRef Venkatesan V, Malta DM, Das K, Belu AM (1993) Evaluation of ohmic contacts formed by B+ implantation and Ti-Au metallization on diamond. J Appl Phys 74:1179–1187CrossRef
119.
go back to reference Tang Y, Aslam DM (2006) Formation of low-resistance contact between titanium and lightly doped polycrystalline diamond using highly doped interlayer. Diam Relat Mater 15:1958–1961CrossRef Tang Y, Aslam DM (2006) Formation of low-resistance contact between titanium and lightly doped polycrystalline diamond using highly doped interlayer. Diam Relat Mater 15:1958–1961CrossRef
120.
go back to reference Werner M (2003) Diamond metallization for device applications. Semicond Sci Technol 18:41–46CrossRef Werner M (2003) Diamond metallization for device applications. Semicond Sci Technol 18:41–46CrossRef
121.
go back to reference Mori Y, Kawarada H, Hiraki A (1991) Properties of metal diamond interfaces and effects of oxygen adsorbed onto diamond surface. Appl Phys Lett 58:940–941CrossRef Mori Y, Kawarada H, Hiraki A (1991) Properties of metal diamond interfaces and effects of oxygen adsorbed onto diamond surface. Appl Phys Lett 58:940–941CrossRef
122.
go back to reference Baumann PK, Nemanich RJ (1998) Electron affinity and Schottky barrier height of metal-diamond (100), (111), and (110) interfaces. J Appl Phys 83:2072–2082CrossRef Baumann PK, Nemanich RJ (1998) Electron affinity and Schottky barrier height of metal-diamond (100), (111), and (110) interfaces. J Appl Phys 83:2072–2082CrossRef
123.
go back to reference Efremow NN, Geis MW, Flanders DC (1985) Ion-beam-assisted etching of diamond. J Vac Sci Technol B3(1):416–418CrossRef Efremow NN, Geis MW, Flanders DC (1985) Ion-beam-assisted etching of diamond. J Vac Sci Technol B3(1):416–418CrossRef
124.
go back to reference Leech PW, Reeves GK, Holland AS, Shanks F (2002) Ion beam etching of CVD diamond film in Ar, Ar/O2 and Ar/CF4 gas mixtures. Diam Relat Mater 11:833–836CrossRef Leech PW, Reeves GK, Holland AS, Shanks F (2002) Ion beam etching of CVD diamond film in Ar, Ar/O2 and Ar/CF4 gas mixtures. Diam Relat Mater 11:833–836CrossRef
125.
go back to reference Sandhu GS, Chu WK (1989) Reactive ion etching of diamond. Appl Phys Lett 55:437–438CrossRef Sandhu GS, Chu WK (1989) Reactive ion etching of diamond. Appl Phys Lett 55:437–438CrossRef
126.
go back to reference Dorsch O, Werner M, Obermeier E (1995) Dry etching of undoped and boron doped polycrystalline diamond films. Diam Relat Mater 4:456–459CrossRef Dorsch O, Werner M, Obermeier E (1995) Dry etching of undoped and boron doped polycrystalline diamond films. Diam Relat Mater 4:456–459CrossRef
127.
go back to reference Vivensang C, Ferlazzo-Manin L, Ravet MF (1996) Surface smoothing of diamond membranes by reactive ion etching process. Diam Relat Mater 5:840–844CrossRef Vivensang C, Ferlazzo-Manin L, Ravet MF (1996) Surface smoothing of diamond membranes by reactive ion etching process. Diam Relat Mater 5:840–844CrossRef
128.
go back to reference Gopi MR, Sirineni NHA, Malshe AP, Brown WD (1997) Reactive ion etching of diamond as a means of enhancing chemically assisted mechanical polishing efficiency. Diam Relat Mater 6:952–958CrossRef Gopi MR, Sirineni NHA, Malshe AP, Brown WD (1997) Reactive ion etching of diamond as a means of enhancing chemically assisted mechanical polishing efficiency. Diam Relat Mater 6:952–958CrossRef
129.
go back to reference Shiomi H (1997) Reactive ion etching of diamond in O2 and CF4 Plasma, and fabrication of porous diamond for field emitter cathodes. Jpn J Appl Phys 36:7745–7748CrossRef Shiomi H (1997) Reactive ion etching of diamond in O2 and CF4 Plasma, and fabrication of porous diamond for field emitter cathodes. Jpn J Appl Phys 36:7745–7748CrossRef
130.
go back to reference Baik ES, Baik YJ (2000) Aligned diamond nano-whiskers. J Mater Res 15:923–926CrossRef Baik ES, Baik YJ (2000) Aligned diamond nano-whiskers. J Mater Res 15:923–926CrossRef
131.
go back to reference Baik ES, Baik YJ, Lee SW, Jeon D (2000) Fabrication of diamond nano-whisker. Thin Solid Films 377–378:295–298CrossRef Baik ES, Baik YJ, Lee SW, Jeon D (2000) Fabrication of diamond nano-whisker. Thin Solid Films 377–378:295–298CrossRef
132.
go back to reference Baik ES, Baik YJ, Jeon D (2000) Control of diamond micro-tip geometry for field emitter. Thin Solid Films 377–378:299–302CrossRef Baik ES, Baik YJ, Jeon D (2000) Control of diamond micro-tip geometry for field emitter. Thin Solid Films 377–378:299–302CrossRef
133.
go back to reference Shibata T, Kitamoto Y, Unno K, Makino E (2000) Micromachining of diamond film for MEMS applications. J Microelectromech Syst 9(1):47–51CrossRef Shibata T, Kitamoto Y, Unno K, Makino E (2000) Micromachining of diamond film for MEMS applications. J Microelectromech Syst 9(1):47–51CrossRef
134.
go back to reference Otterbach R, Hilleringmann U, Goser K (2000) Reactive ion etching of CVD-diamond for sensor devices with Al feature size of 100 nm. Ind Electr Soc Conf 3:1873–1877 Otterbach R, Hilleringmann U, Goser K (2000) Reactive ion etching of CVD-diamond for sensor devices with Al feature size of 100 nm. Ind Electr Soc Conf 3:1873–1877
135.
go back to reference Nishibayashi Y, Ando Y, Saito H, Imai T, Hirao T, Oura K (2001) Anisotropic etching of a fine column on a single crystal diamond. Diam Relat Mater 10:1732–1735CrossRef Nishibayashi Y, Ando Y, Saito H, Imai T, Hirao T, Oura K (2001) Anisotropic etching of a fine column on a single crystal diamond. Diam Relat Mater 10:1732–1735CrossRef
137.
go back to reference Fu Y, Du H, Miao J (2003) Patterning of diamond microstructures on Si substrate by bulk and surface micromachining. J Mater Process Technol 132:73–81CrossRef Fu Y, Du H, Miao J (2003) Patterning of diamond microstructures on Si substrate by bulk and surface micromachining. J Mater Process Technol 132:73–81CrossRef
138.
go back to reference Wang X, Hong GD, Zhang J, Lin BL, Gong HQ, Wang WY (2002) Precise patterning of diamond films for MEMS application. J Mater Process Technol 127:230–233CrossRef Wang X, Hong GD, Zhang J, Lin BL, Gong HQ, Wang WY (2002) Precise patterning of diamond films for MEMS application. J Mater Process Technol 127:230–233CrossRef
139.
go back to reference Ando Y, Nishibayashi Y, Kobashi K, Hirao T, Oura K (2002) Smooth and high-rate reactive ion etching of diamond. Diam Relat Mater 11:824–827CrossRef Ando Y, Nishibayashi Y, Kobashi K, Hirao T, Oura K (2002) Smooth and high-rate reactive ion etching of diamond. Diam Relat Mater 11:824–827CrossRef
140.
go back to reference Ando Y, Nishibayashi Y, Sawabe A (2004) Nano-rods’ of single crystalline diamond. Diam Relat Mater 13:633–637CrossRef Ando Y, Nishibayashi Y, Sawabe A (2004) Nano-rods’ of single crystalline diamond. Diam Relat Mater 13:633–637CrossRef
141.
go back to reference Li CY, Hatta A (2006) Effect of metal coating on the formation of diamond whiskers in O2 RF plasma. Diam Relat Mater 15:357–360CrossRef Li CY, Hatta A (2006) Effect of metal coating on the formation of diamond whiskers in O2 RF plasma. Diam Relat Mater 15:357–360CrossRef
142.
go back to reference Ding G, Mao H, Cai Y, Zhang Y, Yao X, Zhao XL (2005) Micromachining of CVD diamond by RIE for MEMS applications. Diam Relat Mater 14:1543–1548CrossRef Ding G, Mao H, Cai Y, Zhang Y, Yao X, Zhao XL (2005) Micromachining of CVD diamond by RIE for MEMS applications. Diam Relat Mater 14:1543–1548CrossRef
143.
go back to reference Li CY, Hatta A (2005) Preparation of diamond whiskers using Ar, O2 plasma etching. Diam Relat Mater 14:1780–1783CrossRef Li CY, Hatta A (2005) Preparation of diamond whiskers using Ar, O2 plasma etching. Diam Relat Mater 14:1780–1783CrossRef
144.
go back to reference Li CY, Hatta A (2007) Nano-whiskers formation by radio frequency Ar/O2 plasma etching of Al coated diamond films. Thin Sold Films 515:4172–4176CrossRef Li CY, Hatta A (2007) Nano-whiskers formation by radio frequency Ar/O2 plasma etching of Al coated diamond films. Thin Sold Films 515:4172–4176CrossRef
145.
go back to reference Stoikou MD, John P, Wilson JI (2008) Unusual morphology of CVD diamond surfaces after RIE. Diam Relat Mater 17:1164–1168CrossRef Stoikou MD, John P, Wilson JI (2008) Unusual morphology of CVD diamond surfaces after RIE. Diam Relat Mater 17:1164–1168CrossRef
146.
go back to reference Grot S, Gildenblat G, Badzian A (1992) Diamond thin-film recessed gate field-effect transistors fabricated by electron cyclotron resonance plasma etching. IEEE Electron Device Lett 13:462–464CrossRef Grot S, Gildenblat G, Badzian A (1992) Diamond thin-film recessed gate field-effect transistors fabricated by electron cyclotron resonance plasma etching. IEEE Electron Device Lett 13:462–464CrossRef
147.
go back to reference Pearton SJ, Katz A, Ren F, Lothian JR (1992) ECR plasma etching of chemically vapor deposited diamond thin films. Electr Lett 28:822–824CrossRef Pearton SJ, Katz A, Ren F, Lothian JR (1992) ECR plasma etching of chemically vapor deposited diamond thin films. Electr Lett 28:822–824CrossRef
148.
go back to reference Grot SA, Ditizio RA, Gildenblat GS, Badzian AR, Fonash SJ (1992) Oxygen based electron cyclotron resonance etching of semiconducting homoepitaxial diamond films. Appl Phys Lett 61:2326–2328CrossRef Grot SA, Ditizio RA, Gildenblat GS, Badzian AR, Fonash SJ (1992) Oxygen based electron cyclotron resonance etching of semiconducting homoepitaxial diamond films. Appl Phys Lett 61:2326–2328CrossRef
149.
go back to reference Kiyahara S, Yagi Y, Mori KI (1999) Plasma etching of CVD diamond films using an ECR-type oxygen source. Nanotechnology 10:385–388CrossRef Kiyahara S, Yagi Y, Mori KI (1999) Plasma etching of CVD diamond films using an ECR-type oxygen source. Nanotechnology 10:385–388CrossRef
150.
go back to reference Bernard M, Deneuville A, Lagarde T, Treboux E, Pelletier J, Muret P, Casanova N, Gheeraert E (2002) Etching of p- and n-type doped nanocrystalline diamond using an ECR oxygen plasma source. Diam Relat Mater 11:828–832CrossRef Bernard M, Deneuville A, Lagarde T, Treboux E, Pelletier J, Muret P, Casanova N, Gheeraert E (2002) Etching of p- and n-type doped nanocrystalline diamond using an ECR oxygen plasma source. Diam Relat Mater 11:828–832CrossRef
151.
go back to reference Bernard M, Deneuville A, Ortega L, Ayadi K, Muret P (2004) Electron cyclotron resonance oxygen plasma etching of diamond. Diam Relat Mater 13:287–291CrossRef Bernard M, Deneuville A, Ortega L, Ayadi K, Muret P (2004) Electron cyclotron resonance oxygen plasma etching of diamond. Diam Relat Mater 13:287–291CrossRef
152.
go back to reference Tran DT, Grotjohn TA, Reinhard DK, Asmussen J (2008) Microwave plasma-assisted etching of diamond. Diam Relat Mater 17:717–721CrossRef Tran DT, Grotjohn TA, Reinhard DK, Asmussen J (2008) Microwave plasma-assisted etching of diamond. Diam Relat Mater 17:717–721CrossRef
153.
go back to reference Hwang DS, Saito T, Fujimori N (2004) New etching process for device fabrication using diamond. Diam Relat Mater 13:2207–2210CrossRef Hwang DS, Saito T, Fujimori N (2004) New etching process for device fabrication using diamond. Diam Relat Mater 13:2207–2210CrossRef
154.
go back to reference Enlund J, Isberg J, Karlsson M, Nikolajeff F, Olsson J, Twitchen D (2005) Anisotropic dry etching of boron doped single crystal CVD diamond. Carbon 43:1839–1842CrossRef Enlund J, Isberg J, Karlsson M, Nikolajeff F, Olsson J, Twitchen D (2005) Anisotropic dry etching of boron doped single crystal CVD diamond. Carbon 43:1839–1842CrossRef
155.
go back to reference Yamada T, Yoshikawa H, Uetsuka H, Kumaragurubaran S, Tokuda H, Shikata S (2007) Cycle of two-step etching process using ICP for diamond MEMS applications. Diam Relat Mater 16:996–999CrossRef Yamada T, Yoshikawa H, Uetsuka H, Kumaragurubaran S, Tokuda H, Shikata S (2007) Cycle of two-step etching process using ICP for diamond MEMS applications. Diam Relat Mater 16:996–999CrossRef
156.
go back to reference Uetsuka H, Yamada T, Shikata S (2008) ICP etching of polycrystalline diamonds: Fabrication of diamond nano-tips for AFM cantilevers. Diam Relat Mater 17:728–731CrossRef Uetsuka H, Yamada T, Shikata S (2008) ICP etching of polycrystalline diamonds: Fabrication of diamond nano-tips for AFM cantilevers. Diam Relat Mater 17:728–731CrossRef
157.
go back to reference Chapman B (1980) Glow discharge processes. Wiley, New York, p P38 Chapman B (1980) Glow discharge processes. Wiley, New York, p P38
158.
go back to reference Cao Z, Aslam DM (2008) Fabrication technology for single-material MEMS using polycrystalline diamond. Diam Relat Mater 19:1263–1272CrossRef Cao Z, Aslam DM (2008) Fabrication technology for single-material MEMS using polycrystalline diamond. Diam Relat Mater 19:1263–1272CrossRef
159.
go back to reference Windischmann H, Glenn Epps F, Cong Y, Collins RW (1991) Intrinsic stress in diamond films prepared by microwave plasma CVD. J Appl Phys 69:2231–2237CrossRef Windischmann H, Glenn Epps F, Cong Y, Collins RW (1991) Intrinsic stress in diamond films prepared by microwave plasma CVD. J Appl Phys 69:2231–2237CrossRef
160.
go back to reference Chiu C, Liou Y, Juang Y (1995) Elastic modulus of and residual stresses in diamond films. Thin Solid Films 260:118–123CrossRef Chiu C, Liou Y, Juang Y (1995) Elastic modulus of and residual stresses in diamond films. Thin Solid Films 260:118–123CrossRef
161.
go back to reference Kim JG, Yu J (1998) Comparative study of residual stresses measurement methods on CVD diamond films. Scripta Mater 39:807–814CrossRef Kim JG, Yu J (1998) Comparative study of residual stresses measurement methods on CVD diamond films. Scripta Mater 39:807–814CrossRef
162.
go back to reference Jeong JH, Kwon D, Lee WS, Baik YJ (2001) Intrinsic stress in chemical vapor deposited diamond films: An analytical model for the plastic deformation of the Si substrate. J Appl Phys 90:1227–1236CrossRef Jeong JH, Kwon D, Lee WS, Baik YJ (2001) Intrinsic stress in chemical vapor deposited diamond films: An analytical model for the plastic deformation of the Si substrate. J Appl Phys 90:1227–1236CrossRef
163.
go back to reference Sheldon BW, Lau KH, Rajamani A (2001) Intrinsic stress, island coalescence, and surface roughness during the growth of polycrystalline films. J Appl Phys 90:5097–5103CrossRef Sheldon BW, Lau KH, Rajamani A (2001) Intrinsic stress, island coalescence, and surface roughness during the growth of polycrystalline films. J Appl Phys 90:5097–5103CrossRef
164.
go back to reference Kuo CT, Lin CR, Lien HM (1996) Origins of the residual stress in CVD diamond films. Thin Solid Films 290–291:254–259CrossRef Kuo CT, Lin CR, Lien HM (1996) Origins of the residual stress in CVD diamond films. Thin Solid Films 290–291:254–259CrossRef
165.
go back to reference Durand O, Bisaro R, Brierley CJ, Galtier P, Kennedy GR, Krüger JK, Olivier J (2000) Residual stresses in chemical vapor deposition free-standing diamond films by X-ray diffraction analyses. Mater Sci Eng A 228:217–222CrossRef Durand O, Bisaro R, Brierley CJ, Galtier P, Kennedy GR, Krüger JK, Olivier J (2000) Residual stresses in chemical vapor deposition free-standing diamond films by X-ray diffraction analyses. Mater Sci Eng A 228:217–222CrossRef
166.
go back to reference Ferreira NG, Abramof E, Corat EJ, Leite NF, Trava-Airoldi VJ (2001) Stress study of HFCVD boron-doped diamond films by X-ray diffraction measurements. Diam Relat Mater 10:750–754CrossRef Ferreira NG, Abramof E, Corat EJ, Leite NF, Trava-Airoldi VJ (2001) Stress study of HFCVD boron-doped diamond films by X-ray diffraction measurements. Diam Relat Mater 10:750–754CrossRef
167.
go back to reference Ferreira NG, Abramof E, Leite NF, Corat EJ, Trava-Airoldi VJ (2002) Analysis of residual stress in diamond film by x-ray diffraction and micro-Raman spectroscopy. J Appl Phys 91:2466–2472CrossRef Ferreira NG, Abramof E, Leite NF, Corat EJ, Trava-Airoldi VJ (2002) Analysis of residual stress in diamond film by x-ray diffraction and micro-Raman spectroscopy. J Appl Phys 91:2466–2472CrossRef
168.
go back to reference Fu Y, Du H, Sun CQ (2003) Interfacial structure, residual stress and adhesion of diamond coatings deposited on titanium. Thin Solid Films 424:107–114CrossRef Fu Y, Du H, Sun CQ (2003) Interfacial structure, residual stress and adhesion of diamond coatings deposited on titanium. Thin Solid Films 424:107–114CrossRef
169.
go back to reference Ferreira NG, Abramof E, Corat EJ, Trava-Airoldi VJ (2003) Residual stresses and crystalline quality of heavily boron-doped diamond films analyzed by micro-Raman spectroscopy and X-ray diffraction. Carbon 41:1301–1308CrossRef Ferreira NG, Abramof E, Corat EJ, Trava-Airoldi VJ (2003) Residual stresses and crystalline quality of heavily boron-doped diamond films analyzed by micro-Raman spectroscopy and X-ray diffraction. Carbon 41:1301–1308CrossRef
170.
go back to reference Chowdhury S, Laugier MT, Henry J (2007) XRD stress analysis of CVD diamond coatings on SiC substrates. Int J Refract Metal Hard Mater 25:39–45CrossRef Chowdhury S, Laugier MT, Henry J (2007) XRD stress analysis of CVD diamond coatings on SiC substrates. Int J Refract Metal Hard Mater 25:39–45CrossRef
171.
go back to reference Mao W, Zhu H, Chen L, Feng H (2008) Relationship between texture and residual macro-strain in CVD diamond films based on phenomenological analysis. Journal of University of Science and Technology Beijing 15:197–201CrossRef Mao W, Zhu H, Chen L, Feng H (2008) Relationship between texture and residual macro-strain in CVD diamond films based on phenomenological analysis. Journal of University of Science and Technology Beijing 15:197–201CrossRef
172.
go back to reference Liu T, Pinto H, Brito P, Sales LA, Raabe D (2009) Residual stress analysis in chemical-vapor-deposition diamond films. Appl Phys Lett 94:201902CrossRef Liu T, Pinto H, Brito P, Sales LA, Raabe D (2009) Residual stress analysis in chemical-vapor-deposition diamond films. Appl Phys Lett 94:201902CrossRef
173.
go back to reference Wang WL, Polo MC, Sánchez G, Cifre J, Esteve J (1996) Internal stress and strain in heavily boron-doped diamond films grown by microwave plasma and hot filament chemical vapor deposition. J Appl Phys 80:1846–1850CrossRef Wang WL, Polo MC, Sánchez G, Cifre J, Esteve J (1996) Internal stress and strain in heavily boron-doped diamond films grown by microwave plasma and hot filament chemical vapor deposition. J Appl Phys 80:1846–1850CrossRef
174.
go back to reference Haouni A, Mermoux M, Marcus B, Abello L, Lucazeau G (1999) Confocal Raman imaging for the analysis of CVD diamond films. Diam Relat Mater 8:657–662CrossRef Haouni A, Mermoux M, Marcus B, Abello L, Lucazeau G (1999) Confocal Raman imaging for the analysis of CVD diamond films. Diam Relat Mater 8:657–662CrossRef
175.
go back to reference Chen KH, Lai YL, Lin JC, Song KJ, Chen LC, Huang CY (1995) Micro-Raman for diamond film stress analysis. Diam Relat Mater 4:460–463CrossRef Chen KH, Lai YL, Lin JC, Song KJ, Chen LC, Huang CY (1995) Micro-Raman for diamond film stress analysis. Diam Relat Mater 4:460–463CrossRef
176.
go back to reference Michler J, Mermoux M, von Kaenel Y, Haouni A, Lucazeau G, Blank E (1999) Residual stress in diamond films: origin and modeling. Thin Solid Films 357:189–201CrossRef Michler J, Mermoux M, von Kaenel Y, Haouni A, Lucazeau G, Blank E (1999) Residual stress in diamond films: origin and modeling. Thin Solid Films 357:189–201CrossRef
177.
go back to reference Fan QH, Grácio J, Pereira E (2000) Evaluation of residual stresses in chemical-vapor-deposited diamond films. J Appl Phys 87:2880–2884CrossRef Fan QH, Grácio J, Pereira E (2000) Evaluation of residual stresses in chemical-vapor-deposited diamond films. J Appl Phys 87:2880–2884CrossRef
178.
go back to reference Fan QH, Grácio J, Pereira E (2000) Residual stresses in chemical vapor deposited diamond films. Diam Relat Mater 9:1739–1743CrossRef Fan QH, Grácio J, Pereira E (2000) Residual stresses in chemical vapor deposited diamond films. Diam Relat Mater 9:1739–1743CrossRef
179.
go back to reference Stuart SA, Prawer S, Weiser PS (1993) Growth-sector dependence of find structure in the first-order Raman diamond line from large isolated chemical-vapor-deposited diamond crystals. Appl Phys Lett 62:1227–1279CrossRef Stuart SA, Prawer S, Weiser PS (1993) Growth-sector dependence of find structure in the first-order Raman diamond line from large isolated chemical-vapor-deposited diamond crystals. Appl Phys Lett 62:1227–1279CrossRef
180.
go back to reference Catledge SA, Borham J, Vohra YK, Lacefield WR, Lemons JE (2002) Nanoindentation hardness and adhesion investigations of vapor deposited nanostructured diamond films. J Appl Phys 91:5347–5352CrossRef Catledge SA, Borham J, Vohra YK, Lacefield WR, Lemons JE (2002) Nanoindentation hardness and adhesion investigations of vapor deposited nanostructured diamond films. J Appl Phys 91:5347–5352CrossRef
181.
go back to reference Toprani N, Catledge SA, Vohra YK, Thompson R (2000) Interfacial adhesion and toughness of nanostructured diamond coatings. J Mater Res 15:1052–1055CrossRef Toprani N, Catledge SA, Vohra YK, Thompson R (2000) Interfacial adhesion and toughness of nanostructured diamond coatings. J Mater Res 15:1052–1055CrossRef
182.
go back to reference Fu Y, Yan B, Loh NL (2000) Effects of pre-treatments and interlayers on the nucleation and growth of diamond coatings on titanium substrates. Surf Coat Technol 30:173–185CrossRef Fu Y, Yan B, Loh NL (2000) Effects of pre-treatments and interlayers on the nucleation and growth of diamond coatings on titanium substrates. Surf Coat Technol 30:173–185CrossRef
183.
go back to reference Wright JK, Williamson RL, Maggs KJ (1994) Finite element analysis of the effectiveness of interlayers in reducing thermal residual stresses in diamond films. Mater Sci Technol 187:87–96 Wright JK, Williamson RL, Maggs KJ (1994) Finite element analysis of the effectiveness of interlayers in reducing thermal residual stresses in diamond films. Mater Sci Technol 187:87–96
184.
185.
go back to reference Yoshikawa M (1990) Development and performance of a diamond-film polishing apparatus with hot metals. Proc SPIE Diamond Opt 3:1325 Yoshikawa M (1990) Development and performance of a diamond-film polishing apparatus with hot metals. Proc SPIE Diamond Opt 3:1325
186.
go back to reference Raju GS (1994) Chemical assisted mechanical polishing and planarization of CVD diamond substrates for MCM application. M.S.E.E. Thesis, University of Arkansas Library, Fayetteville, AR Raju GS (1994) Chemical assisted mechanical polishing and planarization of CVD diamond substrates for MCM application. M.S.E.E. Thesis, University of Arkansas Library, Fayetteville, AR
187.
go back to reference Ozkan AM, Malshe AP, Brown WD (1997) Sequential multiple-laser-assisted polishing of free-standing CVD diamond substrates. Diam Relat Mater 6:1789–1798CrossRef Ozkan AM, Malshe AP, Brown WD (1997) Sequential multiple-laser-assisted polishing of free-standing CVD diamond substrates. Diam Relat Mater 6:1789–1798CrossRef
188.
go back to reference Hirata A, Tokura H, Yoshigawa M (1992) Smoothing of chemically vapor deposited diamond films by ion beam irradiation. Thin Solid Films 212:43–48CrossRef Hirata A, Tokura H, Yoshigawa M (1992) Smoothing of chemically vapor deposited diamond films by ion beam irradiation. Thin Solid Films 212:43–48CrossRef
189.
go back to reference Sandu GS, Chu WK (1989) Reactive ion etching of diamond. Appl Phys Lett 55(5):437–438CrossRef Sandu GS, Chu WK (1989) Reactive ion etching of diamond. Appl Phys Lett 55(5):437–438CrossRef
190.
go back to reference Harish M, Bothel DM (1992) Proceedings of SPIE. Diamond Opt 3:1759 Harish M, Bothel DM (1992) Proceedings of SPIE. Diamond Opt 3:1759
191.
go back to reference Feng Z, Tzeng Y, Field JE (1992) Solid particle impact of CVD diamond films. Thin Solid Films 212:35–42CrossRef Feng Z, Tzeng Y, Field JE (1992) Solid particle impact of CVD diamond films. Thin Solid Films 212:35–42CrossRef
192.
go back to reference Malshe AP, Brown WD, Naseem HA, Schaper IW (1995) Methods of planarizing polycrystalline diamonds, planarized polycrystalline diamond and products made therefrom. US Patent 5,472,370. Malshe AP, Brown WD, Naseem HA, Schaper IW (1995) Methods of planarizing polycrystalline diamonds, planarized polycrystalline diamond and products made therefrom. US Patent 5,472,370.
193.
go back to reference Sepúlveda N (2005) Polycrystalline Diamond RF MEMS Resonator Technology and Characterization. Ph.D Dissertation, Michigan State University. Sepúlveda N (2005) Polycrystalline Diamond RF MEMS Resonator Technology and Characterization. Ph.D Dissertation, Michigan State University.
194.
go back to reference Bénédic F, Assouar MB, Mohasseb F, Elmazria O, Alnot P, Gicquel A (2004) Surface acoustic wave devices based on nanocrystalline diamond and aluminum nitride. Diam Relat Mater 13:347–353CrossRef Bénédic F, Assouar MB, Mohasseb F, Elmazria O, Alnot P, Gicquel A (2004) Surface acoustic wave devices based on nanocrystalline diamond and aluminum nitride. Diam Relat Mater 13:347–353CrossRef
195.
go back to reference Kirsch P, Assour MB, Elmazria O, Mortet V, Alnot P (2006) 5 GHz surface acoustic wave devices based on aluminum nitride/diamond layered structure realized using electron beam lithography. Appl Phys Lett 88:223504-1-223504–3CrossRef Kirsch P, Assour MB, Elmazria O, Mortet V, Alnot P (2006) 5 GHz surface acoustic wave devices based on aluminum nitride/diamond layered structure realized using electron beam lithography. Appl Phys Lett 88:223504-1-223504–3CrossRef
196.
go back to reference Elmazria O, Bénédic F, Hakiki ME, Moubchir H, Assouar MB, Silva F (2006) Nanocrystalline diamond films for surface acoustic wave devices. Diam Relat Mater 15:193–198CrossRef Elmazria O, Bénédic F, Hakiki ME, Moubchir H, Assouar MB, Silva F (2006) Nanocrystalline diamond films for surface acoustic wave devices. Diam Relat Mater 15:193–198CrossRef
197.
go back to reference Bénédic F, Assouar MB, Kirsch P, Monéger D, Brinza O, Elmazria O, Alnot P, Gicquel A (2008) Very high frequency SAW devices based on nanocrystalline diamond and aluminum nitride layered structure achieved using e-beam lithography. Diam Relat Mater 17:804–808CrossRef Bénédic F, Assouar MB, Kirsch P, Monéger D, Brinza O, Elmazria O, Alnot P, Gicquel A (2008) Very high frequency SAW devices based on nanocrystalline diamond and aluminum nitride layered structure achieved using e-beam lithography. Diam Relat Mater 17:804–808CrossRef
198.
go back to reference Seo SH, Shin WC, Park JS (2002) A novel method of fabricating ZnO/diamond/Si multilayers for surface acoustic wave (SAW) device applications. Thin Solid Films 416:190–196CrossRef Seo SH, Shin WC, Park JS (2002) A novel method of fabricating ZnO/diamond/Si multilayers for surface acoustic wave (SAW) device applications. Thin Solid Films 416:190–196CrossRef
199.
go back to reference Lamara T, Belmahi M, Elmazria O, Brizoual LL, Bougdira J, Rémy M, Alnot P (2004) Freestanding CVD diamond elaborated by pulsed-microwave-plasma for ZnO/diamond SAW devices. Diam Relat Mater 13:581–584CrossRef Lamara T, Belmahi M, Elmazria O, Brizoual LL, Bougdira J, Rémy M, Alnot P (2004) Freestanding CVD diamond elaborated by pulsed-microwave-plasma for ZnO/diamond SAW devices. Diam Relat Mater 13:581–584CrossRef
200.
go back to reference Shih WC, Wang MJ, Lin LN (2008) Characteristics of ZnO thin film surface acoustic wave devices fabricated using nanocrystalline diamond film on silicon substrates. Diam Relat Mater 17:390–395CrossRef Shih WC, Wang MJ, Lin LN (2008) Characteristics of ZnO thin film surface acoustic wave devices fabricated using nanocrystalline diamond film on silicon substrates. Diam Relat Mater 17:390–395CrossRef
201.
go back to reference Uemura T, Fujii S, Kitabayashi H, Itakura K, Hachigo A, Nakahata H, Shikata S, Ishibashi K, Imai T (2002) Low-loss diamond surface acoustic wave devices using small-grain poly-crystalline diamond. Jpn J Appl Phys 41:3476–3479CrossRef Uemura T, Fujii S, Kitabayashi H, Itakura K, Hachigo A, Nakahata H, Shikata S, Ishibashi K, Imai T (2002) Low-loss diamond surface acoustic wave devices using small-grain poly-crystalline diamond. Jpn J Appl Phys 41:3476–3479CrossRef
202.
go back to reference Aslam DM, Taher I, Masood A (1992) Piezoresistivity in vapor-deposited diamond films. Appl Phys Lett 60:2923–2925CrossRef Aslam DM, Taher I, Masood A (1992) Piezoresistivity in vapor-deposited diamond films. Appl Phys Lett 60:2923–2925CrossRef
203.
go back to reference Wur DR, Davidson JL (1993) Piezoresistivity of polycrystalline diamond films. Mater Res Soc Symp Proc 283:879CrossRef Wur DR, Davidson JL (1993) Piezoresistivity of polycrystalline diamond films. Mater Res Soc Symp Proc 283:879CrossRef
204.
go back to reference Dorsch O, Holzner K, Werner M, Obermeier E, Harper RE, Johnston C, Chalker PR, Buckley-Golder IM (1993) Piezo-resistive effect in boron-doped diamond thin films. Diam Relat Mater 2:1096–1099CrossRef Dorsch O, Holzner K, Werner M, Obermeier E, Harper RE, Johnston C, Chalker PR, Buckley-Golder IM (1993) Piezo-resistive effect in boron-doped diamond thin films. Diam Relat Mater 2:1096–1099CrossRef
205.
go back to reference Wanlu W, Kejun L (1994) Piezresistive effect of diamond films produced by dc plasma CVD. Chin Phys Lett 11:589–592CrossRef Wanlu W, Kejun L (1994) Piezresistive effect of diamond films produced by dc plasma CVD. Chin Phys Lett 11:589–592CrossRef
206.
go back to reference Deguchi M, Hase N, Kitabatake M, Kotera H, Shima S, Sakakima H (1996) Piezo-resistive properties of p-type CVD diamond films. Diam Films Technol 6:77 Deguchi M, Hase N, Kitabatake M, Kotera H, Shima S, Sakakima H (1996) Piezo-resistive properties of p-type CVD diamond films. Diam Films Technol 6:77
207.
go back to reference Deguchi M, Kitabatake M, Hirao T (1996) Piezo-resistive properties of chemical vapor deposited p-type diamond strain gauges fabricated on diaphragm structure. Diam Relat Mater 5:728–731CrossRef Deguchi M, Kitabatake M, Hirao T (1996) Piezo-resistive properties of chemical vapor deposited p-type diamond strain gauges fabricated on diaphragm structure. Diam Relat Mater 5:728–731CrossRef
208.
go back to reference Deguchi M, Hase N, Kitabatake M, Kotera H, Shima S, Kitagawa M (1997) Piezo-resistive property of CVD diamond films. Diam Relat Mater 6:367–373CrossRef Deguchi M, Hase N, Kitabatake M, Kotera H, Shima S, Kitagawa M (1997) Piezo-resistive property of CVD diamond films. Diam Relat Mater 6:367–373CrossRef
209.
go back to reference Boiko Y, Gonon P, Prawer S, Jamieson DN (1997) Piezoresistivity of boron doped CVD diamond films. Mater Sci Eng B 46:112–114CrossRef Boiko Y, Gonon P, Prawer S, Jamieson DN (1997) Piezoresistivity of boron doped CVD diamond films. Mater Sci Eng B 46:112–114CrossRef
210.
go back to reference Wang WL, Jiang X, Taube K, Klages CP (1997) Piezoresistivity of polycrystalline p-type diamond films of various doping levels at different temperatures. J Appl Phys 82:729–732CrossRef Wang WL, Jiang X, Taube K, Klages CP (1997) Piezoresistivity of polycrystalline p-type diamond films of various doping levels at different temperatures. J Appl Phys 82:729–732CrossRef
211.
go back to reference Sahli S, Aslam DM (1998) Ultra-high sensitivity intra-grain poly-diamond piezoresistors. Sensors Actuators A 71:193–197CrossRef Sahli S, Aslam DM (1998) Ultra-high sensitivity intra-grain poly-diamond piezoresistors. Sensors Actuators A 71:193–197CrossRef
212.
go back to reference Fang L, Wang WL, Ding PD, Liao KJ, Wang J (1999) Study on the piezo-resistive effect of crystalline and polycrystalline diamond under uniaxial strain. J Appl Phys 86:5185–5193CrossRef Fang L, Wang WL, Ding PD, Liao KJ, Wang J (1999) Study on the piezo-resistive effect of crystalline and polycrystalline diamond under uniaxial strain. J Appl Phys 86:5185–5193CrossRef
213.
go back to reference Adamschik M, Müller R, Gluche P, Flöter A, Limmer W, Sauer R, Kohm E (2001) Analysis of piezo-resistive properties of CVD-diamond films on silicon. Diam Relat Mater 10:1670–1675CrossRef Adamschik M, Müller R, Gluche P, Flöter A, Limmer W, Sauer R, Kohm E (2001) Analysis of piezo-resistive properties of CVD-diamond films on silicon. Diam Relat Mater 10:1670–1675CrossRef
214.
go back to reference Yamamoto A, Tsutsumoto T (2004) Piezo-resistive effect of CVD polycrystalline diamond films. Diam Relat Mater 13:863–866CrossRef Yamamoto A, Tsutsumoto T (2004) Piezo-resistive effect of CVD polycrystalline diamond films. Diam Relat Mater 13:863–866CrossRef
215.
go back to reference Yamamoto A, Norio N, Takahiro T (2007) Evaluation of diamond gauge factor up to 500 °C. Diam Relat Mater 16:1670–1675CrossRef Yamamoto A, Norio N, Takahiro T (2007) Evaluation of diamond gauge factor up to 500 °C. Diam Relat Mater 16:1670–1675CrossRef
216.
go back to reference Kulha P, Kromka A, Babchenko O, Vanecek M, Husak M, Williams OA, Haenen K (2010) Nanocrystalline diamond piezo-resistive sensor. Vacuum 84:53–56CrossRef Kulha P, Kromka A, Babchenko O, Vanecek M, Husak M, Williams OA, Haenen K (2010) Nanocrystalline diamond piezo-resistive sensor. Vacuum 84:53–56CrossRef
217.
go back to reference Werner M, Dorsch O, Obermeier E (1995) High-temperature pressure sensor using p-type diamond piezo-resistors. Diam Relat Mater 4:873–876CrossRef Werner M, Dorsch O, Obermeier E (1995) High-temperature pressure sensor using p-type diamond piezo-resistors. Diam Relat Mater 4:873–876CrossRef
218.
go back to reference Wur DR, Davidson JL, Kang WP, Kinser DL (1995) Polycrystalline diamond pressure sensor. J Microelectromech Syst 4:34–41CrossRef Wur DR, Davidson JL, Kang WP, Kinser DL (1995) Polycrystalline diamond pressure sensor. J Microelectromech Syst 4:34–41CrossRef
219.
go back to reference Sahli S, Aslam DM (1995) Pressure microsensors using p-type diamond films. In: The 8th international conference on solid-state sensors and actuators, Sweden, pp 592–595 Sahli S, Aslam DM (1995) Pressure microsensors using p-type diamond films. In: The 8th international conference on solid-state sensors and actuators, Sweden, pp 592–595
220.
go back to reference Davidson JL, Wur DR, Kang WP, Kinser DL, Kerns DV (1996) Polycrystalline diamond pressure microsensor. Diam Relat Mater 5:86–92CrossRef Davidson JL, Wur DR, Kang WP, Kinser DL, Kerns DV (1996) Polycrystalline diamond pressure microsensor. Diam Relat Mater 5:86–92CrossRef
221.
go back to reference Yamamoto A, Nawachi N, Tsutsumoto T, Terayama A (2005) Pressure sensor using p-type polycrystalline diamond piezo-resistors. Diam Relat Mater 14:657–660CrossRef Yamamoto A, Nawachi N, Tsutsumoto T, Terayama A (2005) Pressure sensor using p-type polycrystalline diamond piezo-resistors. Diam Relat Mater 14:657–660CrossRef
222.
go back to reference Lu J, Cao Z, Aslam DM, Sepúlveda N, Sullivan JP (2008) Diamond micro and nano resonators using laser, capacitive or piezo-resistive detection. In: The 3rd IEEE International conference on nano/micro engineered and molecular systems, Sanya, pp 873–876. Lu J, Cao Z, Aslam DM, Sepúlveda N, Sullivan JP (2008) Diamond micro and nano resonators using laser, capacitive or piezo-resistive detection. In: The 3rd IEEE International conference on nano/micro engineered and molecular systems, Sanya, pp 873–876.
223.
go back to reference Cao Z, Aslam DM (2009) Piezo-resistive sensor technology for RFMEMS using p-type polycrystalline diamond. In: IEEE nanotechnology materials and devices conference, Traverse City, pp 190–195 Cao Z, Aslam DM (2009) Piezo-resistive sensor technology for RFMEMS using p-type polycrystalline diamond. In: IEEE nanotechnology materials and devices conference, Traverse City, pp 190–195
224.
go back to reference Tibrewala A, Peiner E, Bandorf R, Biehl S, Lüthje H (2006) Piezo-resistive gauge factor of hydrogenated amorphous carbon films. J Micromech Microeng 16:75–81CrossRef Tibrewala A, Peiner E, Bandorf R, Biehl S, Lüthje H (2006) Piezo-resistive gauge factor of hydrogenated amorphous carbon films. J Micromech Microeng 16:75–81CrossRef
225.
go back to reference Peiner E, Tibrewala A, Bandorf R, Biehl S, Lüthje H, Doering L (2006) Micro force sensor with piezo-resistive amorphous carbon strain gauge. Sensors Actuators A 130–131:75–82CrossRef Peiner E, Tibrewala A, Bandorf R, Biehl S, Lüthje H, Doering L (2006) Micro force sensor with piezo-resistive amorphous carbon strain gauge. Sensors Actuators A 130–131:75–82CrossRef
226.
go back to reference Tibrewala A, Peiner E, Bandorf R, Biehl S, Lüthje H (2007) Longitudinal and transversal piezo-resistive effect in hydrogenated amorphous carbon films. Thin Solid Films 515:8028–8033CrossRef Tibrewala A, Peiner E, Bandorf R, Biehl S, Lüthje H (2007) Longitudinal and transversal piezo-resistive effect in hydrogenated amorphous carbon films. Thin Solid Films 515:8028–8033CrossRef
227.
go back to reference Tibrewala A, Peiner E, Bandorf R, Beihl S, Lüthje H (2007) The piezo-resistive effect in diamond-like carbon films. J Micromech Microeng 17:77–82CrossRef Tibrewala A, Peiner E, Bandorf R, Beihl S, Lüthje H (2007) The piezo-resistive effect in diamond-like carbon films. J Micromech Microeng 17:77–82CrossRef
228.
go back to reference Meškinis S, Gudaitis R, Kopustinskas V, Tamulevičius S (2008) Electrical and piezo-resistive properties of ion beam deposited DLC films. Appl Surf Sci 254:5252–5256CrossRef Meškinis S, Gudaitis R, Kopustinskas V, Tamulevičius S (2008) Electrical and piezo-resistive properties of ion beam deposited DLC films. Appl Surf Sci 254:5252–5256CrossRef
229.
go back to reference Kang WP, Gurbuz Y, Davidson JL, Kerns DV (1994) A new hydrogen sensor using a polycrystalline diamond based Schottky diode. J Electrochem Soc 141:2231–2234CrossRef Kang WP, Gurbuz Y, Davidson JL, Kerns DV (1994) A new hydrogen sensor using a polycrystalline diamond based Schottky diode. J Electrochem Soc 141:2231–2234CrossRef
230.
go back to reference Gurbuz Y, Kang WP, Davidson JL, Kinser DL, Kerns DV (1996) Diamond microelectronics gas sensors. Sensors Actuators B 33:100–104CrossRef Gurbuz Y, Kang WP, Davidson JL, Kinser DL, Kerns DV (1996) Diamond microelectronics gas sensors. Sensors Actuators B 33:100–104CrossRef
231.
go back to reference Kang WP, Gurbuz Y, Davidson JL, Kerns DV (1995) A polycrystalline diamond thin-film-based hydrogen sensor. Sensors Actuators B 24–25:421–425CrossRef Kang WP, Gurbuz Y, Davidson JL, Kerns DV (1995) A polycrystalline diamond thin-film-based hydrogen sensor. Sensors Actuators B 24–25:421–425CrossRef
232.
go back to reference Balducci A, D’Amico A, Natale CD, Marinelli M, Milani E, Morgada ME, Pucella G, Rodriguez G, Tucciarone A, Verona-Rinati G (2005) High performance CVD-diamond-based thermocouple for gas sensing. Sensors Actuators B 111–112:102–105CrossRef Balducci A, D’Amico A, Natale CD, Marinelli M, Milani E, Morgada ME, Pucella G, Rodriguez G, Tucciarone A, Verona-Rinati G (2005) High performance CVD-diamond-based thermocouple for gas sensing. Sensors Actuators B 111–112:102–105CrossRef
233.
go back to reference Gurbuz Y, Kang WP, Davidson JL, Kerns DV (2004) Diamond microelectronic gas sensor for detection of benzene and toluene. Sensors Actuators B 99:207–215CrossRef Gurbuz Y, Kang WP, Davidson JL, Kerns DV (2004) Diamond microelectronic gas sensor for detection of benzene and toluene. Sensors Actuators B 99:207–215CrossRef
234.
go back to reference Gurbuz Y, Kang WP, Davidson JL, Kerns DV (1996) A novel oxygen gas sensor utilizing thin film diamond diode with catalyzed tin oxide electrode. Sensors Actuators B 35–36:303–307CrossRef Gurbuz Y, Kang WP, Davidson JL, Kerns DV (1996) A novel oxygen gas sensor utilizing thin film diamond diode with catalyzed tin oxide electrode. Sensors Actuators B 35–36:303–307CrossRef
235.
go back to reference Gurbuz Y, Kang WP, Davidson JL, Kinser DL (1998) High temperature tolerant diamond-based microelectronic oxygen gas sensor. Sensors Actuators B 49:115–120CrossRef Gurbuz Y, Kang WP, Davidson JL, Kinser DL (1998) High temperature tolerant diamond-based microelectronic oxygen gas sensor. Sensors Actuators B 49:115–120CrossRef
236.
go back to reference Helwig A, Müller G, Garrido JA, Eickhoff M (2008) Gas sensing properties of hydrogen-terminated diamond. Sensors Actuators B 133:156–165CrossRef Helwig A, Müller G, Garrido JA, Eickhoff M (2008) Gas sensing properties of hydrogen-terminated diamond. Sensors Actuators B 133:156–165CrossRef
237.
go back to reference Salvatori S, Pace E, Rossi MC, Galluzzi F (1997) Photoelectrical characteristics of diamond UV detectors: dependence on device design and film quality. Diam Relat Mater 6:361–366CrossRef Salvatori S, Pace E, Rossi MC, Galluzzi F (1997) Photoelectrical characteristics of diamond UV detectors: dependence on device design and film quality. Diam Relat Mater 6:361–366CrossRef
238.
go back to reference Pace E, Di Benedetto R, Scuderi S (2000) For stable visible-blind and highly sensitive CVD diamond UV photodetectors for laboratory and space applications. Diam Relat Mater 9:987–993CrossRef Pace E, Di Benedetto R, Scuderi S (2000) For stable visible-blind and highly sensitive CVD diamond UV photodetectors for laboratory and space applications. Diam Relat Mater 9:987–993CrossRef
239.
go back to reference Hochedez J-F, Verwichte E, Bergonzo P, Guizard B, Mer C, Tromson D, Sacchi M, Dhez P, Hainaut O, Lemaire P, Vial J-C (2000) Future diamond UV imagers for solar physics. Phys Status Solidi A 181:141–149CrossRef Hochedez J-F, Verwichte E, Bergonzo P, Guizard B, Mer C, Tromson D, Sacchi M, Dhez P, Hainaut O, Lemaire P, Vial J-C (2000) Future diamond UV imagers for solar physics. Phys Status Solidi A 181:141–149CrossRef
240.
go back to reference Hochedez J-F, Bergonzo P, Castex M-C, Dhez P, Hainaut O, Sacchi M, Alvarez JK, Boyer H, Deneuville A, Gibart P, Guizard B, Kleider J-P, Lemaire P, Mer C, Monroy E, Muñoz E, Muret P, Omnes F, Pau JL, Ralchenoko V, Tromson D, Verwichte E, Vial J-C (2001) Diamond UV detectors for future solar physics missions. Diam Relat Mater 10:673–680CrossRef Hochedez J-F, Bergonzo P, Castex M-C, Dhez P, Hainaut O, Sacchi M, Alvarez JK, Boyer H, Deneuville A, Gibart P, Guizard B, Kleider J-P, Lemaire P, Mer C, Monroy E, Muñoz E, Muret P, Omnes F, Pau JL, Ralchenoko V, Tromson D, Verwichte E, Vial J-C (2001) Diamond UV detectors for future solar physics missions. Diam Relat Mater 10:673–680CrossRef
241.
go back to reference Salvatori S, Della Scala A, Rossi MC, Conte G (1990) Optimized contact-structures for metal-diamond-metal UV-detectors. Diam Relat Mater 11:458–462CrossRef Salvatori S, Della Scala A, Rossi MC, Conte G (1990) Optimized contact-structures for metal-diamond-metal UV-detectors. Diam Relat Mater 11:458–462CrossRef
242.
go back to reference Kania DR, Pan L, Kornblum H, Bell P, Landen ON, Pianetta P (1990) Soft x-ray detection with diamond photoconductive detectors. Rev Sci Instrum 61:2765–2767CrossRef Kania DR, Pan L, Kornblum H, Bell P, Landen ON, Pianetta P (1990) Soft x-ray detection with diamond photoconductive detectors. Rev Sci Instrum 61:2765–2767CrossRef
243.
go back to reference Conte G, Rossi MC, Salvatori S, Ascarelli P, Trucchi D (2004) Thin polycrystalline diamond for low-energy x-ray detection. J Appl Phys 96:6415–6420CrossRef Conte G, Rossi MC, Salvatori S, Ascarelli P, Trucchi D (2004) Thin polycrystalline diamond for low-energy x-ray detection. J Appl Phys 96:6415–6420CrossRef
244.
go back to reference Wang L, Liu J, Xu R, Peng H, Shi H, Xia Y (2007) A nanocrystalline CVD diamond film as an x-ray radiation detector. Semicond Sci Technol 22:128–131CrossRef Wang L, Liu J, Xu R, Peng H, Shi H, Xia Y (2007) A nanocrystalline CVD diamond film as an x-ray radiation detector. Semicond Sci Technol 22:128–131CrossRef
245.
go back to reference Husson D, Bauer C, Baumann I, Colledani C, Conway J, Delpierre P, Djama F, Dulinski W, Edwards M, Fallou A, Gan KK, Gilmore RS, Grigoriev E, Halewell G, Han S, Hessing T, Hrubec J, Kagan H, Kania D, Kass R, Knoepfle KT, Krammer M, Llewellyn TJ, Manfredi PF, Meier D, Pan LS, Pernegger H, Pernicka M, Re V, Roe VS, Roff D, Rudge A, Schnetzer S, Speziall V, Stone R, Trapper AJ, Tesarek R, Trischuk W, Turchetta R, Thomson GB, Weilhammer P, Zioch H, Zoeller M (1997) Neutron irradiation of CVD diamond samples for tracking detectors. Nuclear Instrum Methods Phys Res A 388:421–426CrossRef Husson D, Bauer C, Baumann I, Colledani C, Conway J, Delpierre P, Djama F, Dulinski W, Edwards M, Fallou A, Gan KK, Gilmore RS, Grigoriev E, Halewell G, Han S, Hessing T, Hrubec J, Kagan H, Kania D, Kass R, Knoepfle KT, Krammer M, Llewellyn TJ, Manfredi PF, Meier D, Pan LS, Pernegger H, Pernicka M, Re V, Roe VS, Roff D, Rudge A, Schnetzer S, Speziall V, Stone R, Trapper AJ, Tesarek R, Trischuk W, Turchetta R, Thomson GB, Weilhammer P, Zioch H, Zoeller M (1997) Neutron irradiation of CVD diamond samples for tracking detectors. Nuclear Instrum Methods Phys Res A 388:421–426CrossRef
246.
go back to reference Schmid GJ, Koch JA, Lerche RA, Moran MJ (2004) A neutron sensor based on single crystal CVD diamond. Nuclear Instrum Methods Phys Res A 527:554–561CrossRef Schmid GJ, Koch JA, Lerche RA, Moran MJ (2004) A neutron sensor based on single crystal CVD diamond. Nuclear Instrum Methods Phys Res A 527:554–561CrossRef
247.
go back to reference Bergonzo P, Foulon F, Marshall RD, Jany C, Brambilla A, McKeag RD, Jackman RB (1999) Thin film diamond alpha detectors for dosimetry applications. Diam Relat Mater 8:952–955CrossRef Bergonzo P, Foulon F, Marshall RD, Jany C, Brambilla A, McKeag RD, Jackman RB (1999) Thin film diamond alpha detectors for dosimetry applications. Diam Relat Mater 8:952–955CrossRef
248.
go back to reference Judy DC, Blackburn J, Merkel G, Fleetwood RM, Weidenheimer DM, Jenkins B, Gorbics SG, Pereira NR (1996) Measurements of fluence and flux of proton beams using differentially filtered diamond detectors and radiachromic film. IEEE Trans Nucl Sci 43:2701–2708CrossRef Judy DC, Blackburn J, Merkel G, Fleetwood RM, Weidenheimer DM, Jenkins B, Gorbics SG, Pereira NR (1996) Measurements of fluence and flux of proton beams using differentially filtered diamond detectors and radiachromic film. IEEE Trans Nucl Sci 43:2701–2708CrossRef
249.
go back to reference Cholewa M, Kamiya T, Saint A, Prawer S, Legge O, Butler JE, Vestyck DJ (1998) Diamond membranes: applications for single ion detection using secondary electron emission. Diam Relat Mater 7:510–512CrossRef Cholewa M, Kamiya T, Saint A, Prawer S, Legge O, Butler JE, Vestyck DJ (1998) Diamond membranes: applications for single ion detection using secondary electron emission. Diam Relat Mater 7:510–512CrossRef
250.
go back to reference Kamiya T, Cholewa M, Saint A, Prawer S, Legge GJF (2007) Secondary electron emission from boron-doped diamond under ion impact: Applications in single-ion detection. Appl Phys Lett 71:1875–1877CrossRef Kamiya T, Cholewa M, Saint A, Prawer S, Legge GJF (2007) Secondary electron emission from boron-doped diamond under ion impact: Applications in single-ion detection. Appl Phys Lett 71:1875–1877CrossRef
251.
go back to reference Berdermann E, Blasche K, Moritz P, Stelzer H, Voss B (2001) The use of CVD-diamond for heavy-ion detection. Diam Relat Mater 10:1770–1777CrossRef Berdermann E, Blasche K, Moritz P, Stelzer H, Voss B (2001) The use of CVD-diamond for heavy-ion detection. Diam Relat Mater 10:1770–1777CrossRef
252.
go back to reference Mainwood A (2000) Topical review recent developments of diamond detectors for particles and UV radiation. Semicond Sci Technol 15:55–63CrossRef Mainwood A (2000) Topical review recent developments of diamond detectors for particles and UV radiation. Semicond Sci Technol 15:55–63CrossRef
253.
go back to reference Han S, Wagner RS (1996) Grain boundary effects on carrier transport in undoped polycrystalline chemical-vapor-deposited diamond. Appl Phys Lett 68:3016–3018CrossRef Han S, Wagner RS (1996) Grain boundary effects on carrier transport in undoped polycrystalline chemical-vapor-deposited diamond. Appl Phys Lett 68:3016–3018CrossRef
254.
go back to reference Kang WP, Davidson JL, Wong YM, Holmes K (2004) Diamond vacuum field emission devices. Diam Relat Mater 13:975–981CrossRef Kang WP, Davidson JL, Wong YM, Holmes K (2004) Diamond vacuum field emission devices. Diam Relat Mater 13:975–981CrossRef
255.
go back to reference Subramanian K, Kang WP, Davidson JL, Hofmeister WH, Choi BK, Howell M (2005) Nanodiamond planar lateral field emission diode. Diam Relat Mater 14:2099–2014CrossRef Subramanian K, Kang WP, Davidson JL, Hofmeister WH, Choi BK, Howell M (2005) Nanodiamond planar lateral field emission diode. Diam Relat Mater 14:2099–2014CrossRef
256.
go back to reference Subramanian K, Kang WP, Davidson JL, Jarvis JD, Hofmeister WH, Choi BK, Howell M (2006) Geometrical field enhancement on micropatterned nanodiamond film for electron emissions. Diam Relat Mater 15:417–425CrossRef Subramanian K, Kang WP, Davidson JL, Jarvis JD, Hofmeister WH, Choi BK, Howell M (2006) Geometrical field enhancement on micropatterned nanodiamond film for electron emissions. Diam Relat Mater 15:417–425CrossRef
257.
go back to reference Subramanian K, Kang WP, Davidson JL, Choi BK, Howell M (2006) Nanodiamond lateral comb array field emission diode for high current applications. Diam Relat Mater 15:1994–1997CrossRef Subramanian K, Kang WP, Davidson JL, Choi BK, Howell M (2006) Nanodiamond lateral comb array field emission diode for high current applications. Diam Relat Mater 15:1994–1997CrossRef
258.
go back to reference Subramanian K, Kang WP, Davidson JL, Wong YM, Choi BK (2007) Nanocrystalline diamond lateral field emission diode fabrication by dual micropatterning technique. Diam Relat Mater 16:1408–1412CrossRef Subramanian K, Kang WP, Davidson JL, Wong YM, Choi BK (2007) Nanocrystalline diamond lateral field emission diode fabrication by dual micropatterning technique. Diam Relat Mater 16:1408–1412CrossRef
259.
go back to reference Subramanian K, Wong YM, Kang WP, Davidson JL, Choi BK, Howell M (2007) Field emission devices for advanced electronics comprised of lateral nanodiamond or carbon nanotube emitters. Diam Relat Mater 16:1997–2002CrossRef Subramanian K, Wong YM, Kang WP, Davidson JL, Choi BK, Howell M (2007) Field emission devices for advanced electronics comprised of lateral nanodiamond or carbon nanotube emitters. Diam Relat Mater 16:1997–2002CrossRef
260.
go back to reference Subramanian K, Kang WP, Davidson JL, Howell M (2008) Nanodiamond lateral field emitter devices on thick insulator substrates for reliable high-power applications. Diam Relat Mater 17:786–789CrossRef Subramanian K, Kang WP, Davidson JL, Howell M (2008) Nanodiamond lateral field emitter devices on thick insulator substrates for reliable high-power applications. Diam Relat Mater 17:786–789CrossRef
261.
go back to reference Liou YL, Liou J-C, Huang J-H, Tai NH, Lin I-N (2008) Fabrication and field emission properties of ultra-nanocrystalline diamond lateral emitters. Diam Relat Mater 17:776–781CrossRef Liou YL, Liou J-C, Huang J-H, Tai NH, Lin I-N (2008) Fabrication and field emission properties of ultra-nanocrystalline diamond lateral emitters. Diam Relat Mater 17:776–781CrossRef
262.
go back to reference Xu NS, She JC, Huq SE, Chen J, Deng SZ, Chen J (2001) Microfabrication and characterization of gated amorphous diamond-based field emission electron sources. Ultramicroscopy 89:111–118CrossRef Xu NS, She JC, Huq SE, Chen J, Deng SZ, Chen J (2001) Microfabrication and characterization of gated amorphous diamond-based field emission electron sources. Ultramicroscopy 89:111–118CrossRef
263.
go back to reference Chen C-F, Hsieh H-C (2000) Emission current influence of gated structure and diamond emitter morphologies in triode-type field emission arrays. Diam Relat Mater 9:1257–1262CrossRef Chen C-F, Hsieh H-C (2000) Emission current influence of gated structure and diamond emitter morphologies in triode-type field emission arrays. Diam Relat Mater 9:1257–1262CrossRef
264.
go back to reference Chen C-F, Tsai C-L, Lin C-L (2001) Fabrication and characterization of phosphorus-doped diamond field emitters in triode-type field emission arrays. Diam Relat Mater 10:834–839CrossRef Chen C-F, Tsai C-L, Lin C-L (2001) Fabrication and characterization of phosphorus-doped diamond field emitters in triode-type field emission arrays. Diam Relat Mater 10:834–839CrossRef
265.
go back to reference Kwon SJ, Shin YH (1998) Field emission properties of the polycrystalline diamond film prepared by microwave-assisted plasma chemical vapor deposition. J Vac Sci Technol, B 16:712–715CrossRef Kwon SJ, Shin YH (1998) Field emission properties of the polycrystalline diamond film prepared by microwave-assisted plasma chemical vapor deposition. J Vac Sci Technol, B 16:712–715CrossRef
266.
go back to reference Hong D, Aslam DM (1999) Poly-diamond gated field-emitter display cells. IEEE Trans Electron Devices 46:787–791CrossRef Hong D, Aslam DM (1999) Poly-diamond gated field-emitter display cells. IEEE Trans Electron Devices 46:787–791CrossRef
267.
go back to reference Nguyen CT-C (2008) Integrated micromechanical radio frontends. In: International symposium on VLSI technology, systems and application, pp 3-4 Nguyen CT-C (2008) Integrated micromechanical radio frontends. In: International symposium on VLSI technology, systems and application, pp 3-4
268.
go back to reference Wang K, Wong A-C, Nguyen CT-C (2000) VHF free-free beam high-Q micromechanical resonators. J Microelectromech Syst 9:347–360CrossRef Wang K, Wong A-C, Nguyen CT-C (2000) VHF free-free beam high-Q micromechanical resonators. J Microelectromech Syst 9:347–360CrossRef
269.
go back to reference Wang J, Ren Z, Nguyen CT-C (2004) 1.156-GHz self-Aligned vibrating micromechanical disk resonator. IEEE Trans Ultrason Ferroelectr Freq Control 51:1607–1628CrossRef Wang J, Ren Z, Nguyen CT-C (2004) 1.156-GHz self-Aligned vibrating micromechanical disk resonator. IEEE Trans Ultrason Ferroelectr Freq Control 51:1607–1628CrossRef
270.
go back to reference Xie Y, Li S, Lin Y, Ren Z, Clark T, Nguyen CT-C (2008) 1.52-GHz micromechanical extensional wine-glass mode ring resonators. IEEE Trans Ultrason Ferroelectr Freq Control 55:890–907CrossRef Xie Y, Li S, Lin Y, Ren Z, Clark T, Nguyen CT-C (2008) 1.52-GHz micromechanical extensional wine-glass mode ring resonators. IEEE Trans Ultrason Ferroelectr Freq Control 55:890–907CrossRef
271.
go back to reference Whitfield MD, Audic B, Flannery CM, Kehoe LP, Crean GM, Jackman RB (1999) Acoustic wave propagation in free standing CVD diamond: Influence of film quality and temperature. Diam Relat Mater 8:732–737CrossRef Whitfield MD, Audic B, Flannery CM, Kehoe LP, Crean GM, Jackman RB (1999) Acoustic wave propagation in free standing CVD diamond: Influence of film quality and temperature. Diam Relat Mater 8:732–737CrossRef
272.
go back to reference Hull R (1999) Properties of Crystalline Silicon. IEE Publishing, Portland Hull R (1999) Properties of Crystalline Silicon. IEE Publishing, Portland
273.
go back to reference Harris GL (1995) Properties of Silicon Carbide. IEE Publishing, Portland Harris GL (1995) Properties of Silicon Carbide. IEE Publishing, Portland
274.
go back to reference Liao M, Rong Z, Hishita S, Imura M, Koizumi S, Koide Y. (2012) Nanoelectromechanical switch fabricated from single crystal diamond: Experiments and modeling. Diam Relat Mater 24:69–73.CrossRef Liao M, Rong Z, Hishita S, Imura M, Koizumi S, Koide Y. (2012) Nanoelectromechanical switch fabricated from single crystal diamond: Experiments and modeling. Diam Relat Mater 24:69–73.CrossRef
275.
go back to reference Wang J, Butler JE, Hsu DSY, Nguyen CT-C (2002) CVD polycrystalline diamond high-Q micromechanical resonators. In: 15th international IEEE microelectromechanical systems conference, Las Vegas, pp 657–660 Wang J, Butler JE, Hsu DSY, Nguyen CT-C (2002) CVD polycrystalline diamond high-Q micromechanical resonators. In: 15th international IEEE microelectromechanical systems conference, Las Vegas, pp 657–660
276.
go back to reference Sepúlveda N, Aslam DM, Sullivan JP (2005) Polycrystalline diamond MEMS resonator technology for sensor applications. Diam Relat Mater 15:398–403CrossRef Sepúlveda N, Aslam DM, Sullivan JP (2005) Polycrystalline diamond MEMS resonator technology for sensor applications. Diam Relat Mater 15:398–403CrossRef
277.
go back to reference Sepúlveda N, Lu J, Aslam DM, Sullivan JP (2008) High-performance polycrystalline diamond micro- and nanoresonators. J Microelectromech Syst 17:473–482CrossRef Sepúlveda N, Lu J, Aslam DM, Sullivan JP (2008) High-performance polycrystalline diamond micro- and nanoresonators. J Microelectromech Syst 17:473–482CrossRef
278.
go back to reference Wang J, Butler JE, Hsu DSY, Nguyen CT-C (2002) High-Q micromechanical resonators in CH4-reactant-optimized high acoustic velocity CVD polydiamond. In: Solid-State Sensor, Actuator, and Microsystems Workshop, Hilton Head, South Carolina, pp 61–62. Wang J, Butler JE, Hsu DSY, Nguyen CT-C (2002) High-Q micromechanical resonators in CH4-reactant-optimized high acoustic velocity CVD polydiamond. In: Solid-State Sensor, Actuator, and Microsystems Workshop, Hilton Head, South Carolina, pp 61–62.
279.
go back to reference Wang J, Butler JE, Feygelson T, Nguyen CT-C (2004) 1.51-GHz nanocrystalline diamond micromechanical disk resonator with material-mismatched isolating support. In: 17th International IEEE microelectromechanical systems conference, Masstricht, The Netherland, pp. 641–644. Wang J, Butler JE, Feygelson T, Nguyen CT-C (2004) 1.51-GHz nanocrystalline diamond micromechanical disk resonator with material-mismatched isolating support. In: 17th International IEEE microelectromechanical systems conference, Masstricht, The Netherland, pp. 641–644.
280.
go back to reference Imboden M, Mohanty P, Gaidarzhy A, Rankin J, Sheldon BW (2007) Scaling of dissipation in megahertz-range micromechanical diamond oscillators. Appl Phys Lett 90:1–4CrossRef Imboden M, Mohanty P, Gaidarzhy A, Rankin J, Sheldon BW (2007) Scaling of dissipation in megahertz-range micromechanical diamond oscillators. Appl Phys Lett 90:1–4CrossRef
281.
go back to reference Hutchinson AB, Truitt PA, Schwab KC, Sekaric L, Parpia JM, Craighead HG, Butler JE (2004) Dissipation in nanocrystalline-diamond nanomechanical resonators. Appl Phys Lett 84:972–974CrossRef Hutchinson AB, Truitt PA, Schwab KC, Sekaric L, Parpia JM, Craighead HG, Butler JE (2004) Dissipation in nanocrystalline-diamond nanomechanical resonators. Appl Phys Lett 84:972–974CrossRef
282.
go back to reference Baldwin JW, Zalalutdinov MK, Feygelson T, Pate BB, Bulter JE, Houston BH (2006) Nanocrystalline diamond resonator array for RF signal processing. Diam Relat Mater 15:2061–2067CrossRef Baldwin JW, Zalalutdinov MK, Feygelson T, Pate BB, Bulter JE, Houston BH (2006) Nanocrystalline diamond resonator array for RF signal processing. Diam Relat Mater 15:2061–2067CrossRef
283.
go back to reference Gaidarzhy A, Imboden M, Mohanty P, Rankin J, Sheldon BW (2007) High quality factor gigahertz frequencies in nanomechanical diamond resonators. Applied Physics Letters 91(20), 203503 - 203503–3. Gaidarzhy A, Imboden M, Mohanty P, Rankin J, Sheldon BW (2007) High quality factor gigahertz frequencies in nanomechanical diamond resonators. Applied Physics Letters 91(20), 203503 - 203503–3.
284.
go back to reference Adiga VP, Sumant AV, Suresh S, Gudeman C, Carlisle JA, Auciello O, Carpick RW (2009) Mechanical stiffness and dissipation in ultrananocrystalline diamond resonators. Phys Rev B 79:245403CrossRef Adiga VP, Sumant AV, Suresh S, Gudeman C, Carlisle JA, Auciello O, Carpick RW (2009) Mechanical stiffness and dissipation in ultrananocrystalline diamond resonators. Phys Rev B 79:245403CrossRef
285.
go back to reference Chua DHC, Milne WI, Sheeja D, Tay BK, Schneider D (2004) Fabrication of diamond-like amorphous carbon cantilever resonators. J Vac Sci Technol B 22:2680–2684CrossRef Chua DHC, Milne WI, Sheeja D, Tay BK, Schneider D (2004) Fabrication of diamond-like amorphous carbon cantilever resonators. J Vac Sci Technol B 22:2680–2684CrossRef
286.
go back to reference Czaplewski DA, Sullvan JP, Friedmann TA, Wendt JR (2006) Mechanical dissipation at elevated temperatures in tetrahedral amorphous carbon oscillators. Diam Relat Mater 15:309–312CrossRef Czaplewski DA, Sullvan JP, Friedmann TA, Wendt JR (2006) Mechanical dissipation at elevated temperatures in tetrahedral amorphous carbon oscillators. Diam Relat Mater 15:309–312CrossRef
287.
go back to reference Ertl S, Adamschik M, Schmid P, Gluche P, Flöter A, Kohn E (2000) Surface micromachined diamond microswitch. Diam Relat Mater 9:970–974CrossRef Ertl S, Adamschik M, Schmid P, Gluche P, Flöter A, Kohn E (2000) Surface micromachined diamond microswitch. Diam Relat Mater 9:970–974CrossRef
288.
go back to reference Adamschik M, Kusterer J, Schmid P, Schad KB, Grobe D, Flöter A, Kohn E (2002) Diamond microwave micro relay. Diam Relat Mater 11:672–676CrossRef Adamschik M, Kusterer J, Schmid P, Schad KB, Grobe D, Flöter A, Kohn E (2002) Diamond microwave micro relay. Diam Relat Mater 11:672–676CrossRef
289.
go back to reference Gurbuz Y, Esame O, Tekin I, Kang WP, Davidson JL (2005) Diamond semiconductor technology for RF device applications. Solid-State Electronics 49:1055–1070CrossRef Gurbuz Y, Esame O, Tekin I, Kang WP, Davidson JL (2005) Diamond semiconductor technology for RF device applications. Solid-State Electronics 49:1055–1070CrossRef
290.
go back to reference Schmid P, Hernandez-Guillen FJ, Kohn E (2003) Diamond switch using new thermal actuation principle. Diam Relat Mater 12:418–421CrossRef Schmid P, Hernandez-Guillen FJ, Kohn E (2003) Diamond switch using new thermal actuation principle. Diam Relat Mater 12:418–421CrossRef
291.
go back to reference Ramesham R, Roppel T, Ellis C (1991) Fabrication of microchannels in synthetic polycrystalline diamond thin films for heat sinking applications. J Electr Soc 138:1706–1709CrossRef Ramesham R, Roppel T, Ellis C (1991) Fabrication of microchannels in synthetic polycrystalline diamond thin films for heat sinking applications. J Electr Soc 138:1706–1709CrossRef
292.
go back to reference Müller R, Schmid P, Munding A, Gronmaier R, Kohn E (2004) Elements for surface microfluidics in diamond. Diam Relat Mater 13:780–784CrossRef Müller R, Schmid P, Munding A, Gronmaier R, Kohn E (2004) Elements for surface microfluidics in diamond. Diam Relat Mater 13:780–784CrossRef
293.
go back to reference Guillaudeu S, Zhu X, Aslam DM (2003) Fabrication of 2-μm wide polycrystalline diamond channels using silicon molds for micro-fluidic applications. Diam Relat Mater 12:65–69CrossRef Guillaudeu S, Zhu X, Aslam DM (2003) Fabrication of 2-μm wide polycrystalline diamond channels using silicon molds for micro-fluidic applications. Diam Relat Mater 12:65–69CrossRef
294.
go back to reference Müller R, Guillaudeu S, Janischowsky K, Kusterer J, Kohn E (2005) An “all-diamond” inkjet realized in sacrificial layer technology. Diam Relat Mater 14:504–508CrossRef Müller R, Guillaudeu S, Janischowsky K, Kusterer J, Kohn E (2005) An “all-diamond” inkjet realized in sacrificial layer technology. Diam Relat Mater 14:504–508CrossRef
295.
go back to reference Szarowski DH, Andersen MD, Retterer S, Spence AJ, Isaacson M, Craighead HG, Turner JN, Shain W (2003) Brain responses to micromachined silicon devices. Brain Res 983:23–35CrossRef Szarowski DH, Andersen MD, Retterer S, Spence AJ, Isaacson M, Craighead HG, Turner JN, Shain W (2003) Brain responses to micromachined silicon devices. Brain Res 983:23–35CrossRef
296.
go back to reference Tang L, Tsai C, Gerberich WW, Kruckebeu L, Kania DR (1995) Biocompatibility of chemical-vapor-deposited diamond. Biomaterials 16:483–488CrossRef Tang L, Tsai C, Gerberich WW, Kruckebeu L, Kania DR (1995) Biocompatibility of chemical-vapor-deposited diamond. Biomaterials 16:483–488CrossRef
297.
go back to reference Tang Y, Aslam DM, Wang J, Wise KD (2005) Polycrystalline Diamond Piezo-resistive Position Sensors for Cochlear Implant Probe. In: Proceedings of solid-state sensors, actuators and microsystems, pp 542-546 Tang Y, Aslam DM, Wang J, Wise KD (2005) Polycrystalline Diamond Piezo-resistive Position Sensors for Cochlear Implant Probe. In: Proceedings of solid-state sensors, actuators and microsystems, pp 542-546
298.
go back to reference Swain GM, Ramesham R (1993) The electrochemical activity of boron-doped polycrystalline diamond thin film electrode. Anal Chem 65:345–351CrossRef Swain GM, Ramesham R (1993) The electrochemical activity of boron-doped polycrystalline diamond thin film electrode. Anal Chem 65:345–351CrossRef
299.
go back to reference Chan HY, Varney M, Aslam DM, Wise KD (2008) Fabrication and characterization of all-diamond microprobes for electrochemical analysis. In: IEEE International conference on nano/micro engineered and molecular systems, pp 532–535 Chan HY, Varney M, Aslam DM, Wise KD (2008) Fabrication and characterization of all-diamond microprobes for electrochemical analysis. In: IEEE International conference on nano/micro engineered and molecular systems, pp 532–535
300.
go back to reference Chan H-Y, Aslam DM, Wang SH, Swain GM, Wise KD (2008) Fabrication and testing of a novel all-diamond neural probe for chemical detection and electrical sensing applications. In: IEEE international conference on micro electromechanical systems, pp 244–247 Chan H-Y, Aslam DM, Wang SH, Swain GM, Wise KD (2008) Fabrication and testing of a novel all-diamond neural probe for chemical detection and electrical sensing applications. In: IEEE international conference on micro electromechanical systems, pp 244–247
301.
go back to reference Chan H-Y, Aslam DM, Wiler J, Casey B (2009) A novel diamond microprobe for neuro-chemical and –electrical recording in neural prosthesis. J Microelectromech Syst 18:511–521CrossRef Chan H-Y, Aslam DM, Wiler J, Casey B (2009) A novel diamond microprobe for neuro-chemical and –electrical recording in neural prosthesis. J Microelectromech Syst 18:511–521CrossRef
302.
go back to reference Bennett A, Wang J, Show Y, Swain GM (2004) Effect of sp2-bonded nondiamond carbon impurity on the response of boron-doped polycrystalline diamond thin-film electrodes. J Electrochem Soc 151:306–313CrossRef Bennett A, Wang J, Show Y, Swain GM (2004) Effect of sp2-bonded nondiamond carbon impurity on the response of boron-doped polycrystalline diamond thin-film electrodes. J Electrochem Soc 151:306–313CrossRef
303.
go back to reference Nebel CE, Kato H, Rezek B, Shin D, Takeuchi D, Watanabe H, Yamamoto T (2006) Electrochemical properties of undoped hydrogen terminated CVD diamond. Diam Relat Mater 15:264–268CrossRef Nebel CE, Kato H, Rezek B, Shin D, Takeuchi D, Watanabe H, Yamamoto T (2006) Electrochemical properties of undoped hydrogen terminated CVD diamond. Diam Relat Mater 15:264–268CrossRef
304.
go back to reference Chan H-Y (2008) Polycrystalline CVD Diamond Probes for Use in In Vivo and In Vitro Neural Studies, Ph.D Dissertation, Michigan State University Chan H-Y (2008) Polycrystalline CVD Diamond Probes for Use in In Vivo and In Vitro Neural Studies, Ph.D Dissertation, Michigan State University
305.
go back to reference Zhu X, Aslam DM (2006) CVD diamond thin film technology for MEMS packaging. Diam Relat Mater 15:254–258CrossRef Zhu X, Aslam DM (2006) CVD diamond thin film technology for MEMS packaging. Diam Relat Mater 15:254–258CrossRef
306.
go back to reference Zhu X, Aslam DM, Sullivan JP (2006) The application of polycrystalline diamond in a thin film packaging process for MEMS resonators. Diam Relat Mater 15:2068–2072CrossRef Zhu X, Aslam DM, Sullivan JP (2006) The application of polycrystalline diamond in a thin film packaging process for MEMS resonators. Diam Relat Mater 15:2068–2072CrossRef
307.
go back to reference Zhu X, Aslam DM, Tang Y, Stark BH, Najafi K (2004) The fabrication of all-diamond packaging panels with built-in interconnects for wireless integrated microsystems. J Microelectromech Syst 13:396–405CrossRef Zhu X, Aslam DM, Tang Y, Stark BH, Najafi K (2004) The fabrication of all-diamond packaging panels with built-in interconnects for wireless integrated microsystems. J Microelectromech Syst 13:396–405CrossRef
308.
go back to reference May PW, Harvey JN, Smith JA, Mankelevich YA (2006) Re-evaluation of the mechanism for ultrananocrystalline diamond deposition from Ar/CH4/H2 gas mixtures. J Appl Phys 99:104907-1-104907–11CrossRef May PW, Harvey JN, Smith JA, Mankelevich YA (2006) Re-evaluation of the mechanism for ultrananocrystalline diamond deposition from Ar/CH4/H2 gas mixtures. J Appl Phys 99:104907-1-104907–11CrossRef
309.
go back to reference Xiao X, Birrell J, Gerbi JE, Auciello O, Carlisle JA (2004) Low temperature growth of ultrananocrystalline diamond. J Appl Phys 96:2232–2239CrossRef Xiao X, Birrell J, Gerbi JE, Auciello O, Carlisle JA (2004) Low temperature growth of ultrananocrystalline diamond. J Appl Phys 96:2232–2239CrossRef
310.
go back to reference Carlisle JA, Gruen DM, Auciello O, Xiao X (2009) A method to grow pure nanocrystalline diamond films at low temperatures and high deposition rates. US Patent # 7,556,982. Carlisle JA, Gruen DM, Auciello O, Xiao X (2009) A method to grow pure nanocrystalline diamond films at low temperatures and high deposition rates. US Patent # 7,556,982.
311.
go back to reference Ding MQ, Krauss R, Auciello O, Gruen DM, Corrigan T, Kordesch ME, Temple D, Palmer D, McGuire G (1999) Studies of field emission from bias-grown diamond thin films. J Vac Sci Technol B 17:705–709CrossRef Ding MQ, Krauss R, Auciello O, Gruen DM, Corrigan T, Kordesch ME, Temple D, Palmer D, McGuire G (1999) Studies of field emission from bias-grown diamond thin films. J Vac Sci Technol B 17:705–709CrossRef
312.
go back to reference Robertson J, Gerber J, Sattel S, Weiler M, Jung K, Ehrhardt H (1995) Mechanism of bias enhanced nucleation of diamond on Si. Appl Phys Lett 66:3287–3289CrossRef Robertson J, Gerber J, Sattel S, Weiler M, Jung K, Ehrhardt H (1995) Mechanism of bias enhanced nucleation of diamond on Si. Appl Phys Lett 66:3287–3289CrossRef
313.
go back to reference Lee YC, Lin SJ, Lin CY, Yip MC, Fang W, Lin IN (2006) Pre-nucleation techniques for enhancing nucleation density and adhesion of low temperature deposited ultra-nano-crystalline diamond. Diam Relat Mater 15:2046–2050CrossRef Lee YC, Lin SJ, Lin CY, Yip MC, Fang W, Lin IN (2006) Pre-nucleation techniques for enhancing nucleation density and adhesion of low temperature deposited ultra-nano-crystalline diamond. Diam Relat Mater 15:2046–2050CrossRef
314.
go back to reference Chen YC, Zhong XY, Konicek AR, Grierson DS, Tai NH, Lin IN, Kabius B, Hiller JM, Sumant AV, Carpick RW, Auciello O (2008) Synthesis and characterization of smooth ultrananocrystalline diamond films via low pressure bias-enhanced nucleation and growth. Appl Phys Lett 92(13):133113CrossRef Chen YC, Zhong XY, Konicek AR, Grierson DS, Tai NH, Lin IN, Kabius B, Hiller JM, Sumant AV, Carpick RW, Auciello O (2008) Synthesis and characterization of smooth ultrananocrystalline diamond films via low pressure bias-enhanced nucleation and growth. Appl Phys Lett 92(13):133113CrossRef
315.
go back to reference Stoner BR, Ma G-HM, Wolter SD, Glass JT (1992) Characterization of bias-enhanced nucleation of diamond on silicon by in vacuo surface analysis and transmission electron microscopy. Phys Rev B 45:11067–11084CrossRef Stoner BR, Ma G-HM, Wolter SD, Glass JT (1992) Characterization of bias-enhanced nucleation of diamond on silicon by in vacuo surface analysis and transmission electron microscopy. Phys Rev B 45:11067–11084CrossRef
316.
go back to reference Gerber S, Sattel S, Ehrhardt H, Robertson J, Wurzinger P, Pongratz P (1996) Investigation of bias enhanced nucleation of diamond on silicon. J Appl Phys 79:4388–4396CrossRef Gerber S, Sattel S, Ehrhardt H, Robertson J, Wurzinger P, Pongratz P (1996) Investigation of bias enhanced nucleation of diamond on silicon. J Appl Phys 79:4388–4396CrossRef
317.
go back to reference Lee YC, Lin SJ, Chia CT, Cheng HF, Lin IN (2005) Effect of processing parameters on the nucleation behavior of nano-crystalline diamond film. Diam Relat Mater 14:296–301CrossRef Lee YC, Lin SJ, Chia CT, Cheng HF, Lin IN (2005) Effect of processing parameters on the nucleation behavior of nano-crystalline diamond film. Diam Relat Mater 14:296–301CrossRef
318.
go back to reference Fuentes-Fernandez EMA, Alcantar-Peña JJ, Lee G, Boulom A, Phan H, Smith B, Nguyen T, Sahoo S, Ruiz-Zepeda F, Arellano-Jimenez MJ, Gurman P, Martinez-Perez CA, Yacaman MJ, Katiyar RS, Auciello O (2016) Synthesis and characterization of microcrystalline diamond to ultrananocrystalline diamond films via hot filament chemical vapor deposition for scaling to large area applications. Thin Solid Films 603:62–68CrossRef Fuentes-Fernandez EMA, Alcantar-Peña JJ, Lee G, Boulom A, Phan H, Smith B, Nguyen T, Sahoo S, Ruiz-Zepeda F, Arellano-Jimenez MJ, Gurman P, Martinez-Perez CA, Yacaman MJ, Katiyar RS, Auciello O (2016) Synthesis and characterization of microcrystalline diamond to ultrananocrystalline diamond films via hot filament chemical vapor deposition for scaling to large area applications. Thin Solid Films 603:62–68CrossRef
319.
go back to reference Barbosa DC, Almeida FA, Silva RF, Ferreira NG, Trava-Airoldi VJ, Corat EJ (2009) Influence of substrate temperature on formation of ultrananocrystalline diamond films deposited by HFCVD argon-rich gas mixture. Diam Relat Mater 18:1283–1288 CrossRef Barbosa DC, Almeida FA, Silva RF, Ferreira NG, Trava-Airoldi VJ, Corat EJ (2009) Influence of substrate temperature on formation of ultrananocrystalline diamond films deposited by HFCVD argon-rich gas mixture. Diam Relat Mater 18:1283–1288 CrossRef
320.
go back to reference Barbosa DC, Hammer P, Trava-Airoldi VJ, Corat EJ (2012) The valuable role of renucleation rate in ultrananocrystalline diamond growth. Diam Relat Mater 23:112–119CrossRef Barbosa DC, Hammer P, Trava-Airoldi VJ, Corat EJ (2012) The valuable role of renucleation rate in ultrananocrystalline diamond growth. Diam Relat Mater 23:112–119CrossRef
321.
go back to reference Ansari SG, Anh TL, Seo H-K, Sung K-G, Mushtaq D, H-S. Shin H-S, (2004) Growth kinetics of diamond film with bias enhanced nucleation and H2/CH4/Ar mixture in a hot-filament chemical vapor deposition system. J Cryst Growth 265(3–4):563–570CrossRef Ansari SG, Anh TL, Seo H-K, Sung K-G, Mushtaq D, H-S. Shin H-S, (2004) Growth kinetics of diamond film with bias enhanced nucleation and H2/CH4/Ar mixture in a hot-filament chemical vapor deposition system. J Cryst Growth 265(3–4):563–570CrossRef
322.
go back to reference Li Y, Li J, Wang Q, Yang Y, Gu C (2009) Controllable growth of nanocrystalline diamond films by hot-filament chemical vapor deposition method. J Nanosci Nanotechnol 9(2):1062–1065CrossRef Li Y, Li J, Wang Q, Yang Y, Gu C (2009) Controllable growth of nanocrystalline diamond films by hot-filament chemical vapor deposition method. J Nanosci Nanotechnol 9(2):1062–1065CrossRef
323.
go back to reference Janischowsky K, Ebert W, Kohn E (2003) Bias enhanced nucleation of diamond on silicon (100) in a HFCVD system. Diam Relat Mater 12(3–7):336–339CrossRef Janischowsky K, Ebert W, Kohn E (2003) Bias enhanced nucleation of diamond on silicon (100) in a HFCVD system. Diam Relat Mater 12(3–7):336–339CrossRef
324.
go back to reference Alcantar-Peña JJ, de Obaldia E, Montes-Gutierrez J, Kang K, Arellano-Jimenez MJ, Ortega Aguilar JE, Suchy GP, Berman-Mendoza D, Garcia R, Yacaman MJ, Auciello O (2017) Fundamentals towards large area synthesis of multifunctional ultranano-crystalline diamond films via large area hot filament chemical vapor deposition bias enhanced nucleation/bias enhanced growth for fabrication of broad range of multi-functional diamond-based devices. Diam Relat Mater 78:1–11CrossRef Alcantar-Peña JJ, de Obaldia E, Montes-Gutierrez J, Kang K, Arellano-Jimenez MJ, Ortega Aguilar JE, Suchy GP, Berman-Mendoza D, Garcia R, Yacaman MJ, Auciello O (2017) Fundamentals towards large area synthesis of multifunctional ultranano-crystalline diamond films via large area hot filament chemical vapor deposition bias enhanced nucleation/bias enhanced growth for fabrication of broad range of multi-functional diamond-based devices. Diam Relat Mater 78:1–11CrossRef
326.
go back to reference Goldsmith C, Sumant AJ, Auciello O, Carlisle JA, Zeng H, Hwang JCM, Palego C, Wang Carpick WR, Adiga V, Datta A, Gudeman C, O’Brien S, Sampath S (2010) Charging characteristics of ultrananocrystalline diamond in RF-MEMS Capacitive Switches. In: IEEE International Microwave Symposium Digest, pp 1246–1249. Goldsmith C, Sumant AJ, Auciello O, Carlisle JA, Zeng H, Hwang JCM, Palego C, Wang Carpick WR, Adiga V, Datta A, Gudeman C, O’Brien S, Sampath S (2010) Charging characteristics of ultrananocrystalline diamond in RF-MEMS Capacitive Switches. In: IEEE International Microwave Symposium Digest, pp 1246–1249.
327.
go back to reference Schroeppel E, Lin J (1999) Reliability and clinical assessment of pacemaker power sources. In: Munshi MZA (ed) Handbook of solid-state batteries & capacitors, vol 1. World Scientific Publishing Co, London Schroeppel E, Lin J (1999) Reliability and clinical assessment of pacemaker power sources. In: Munshi MZA (ed) Handbook of solid-state batteries & capacitors, vol 1. World Scientific Publishing Co, London
328.
go back to reference Roberts P, Stanley G, Morgan JM (2008) Harvesting the Energy of Cardiac Motion to Power a Pacemaker. Circulation 118:S679–S682CrossRef Roberts P, Stanley G, Morgan JM (2008) Harvesting the Energy of Cardiac Motion to Power a Pacemaker. Circulation 118:S679–S682CrossRef
329.
go back to reference Lu F, Lee HP, Lim SP (2004) Modeling and analysis of micro piezoelectric power generators for micro-electromechanical-systems applications. Smart Mater Struct 13:57–63CrossRef Lu F, Lee HP, Lim SP (2004) Modeling and analysis of micro piezoelectric power generators for micro-electromechanical-systems applications. Smart Mater Struct 13:57–63CrossRef
330.
go back to reference Lee G, Fuentes-Fernandez EM, Lian G, Katiyar RS, Auciello O (2015) Hetero-epitaxial BiFeO3/SrTiO3 Nanolaminates with higher piezoresponse performance over stoichiometric BiFeO3 films. Appl Phys Lett 106:022905CrossRef Lee G, Fuentes-Fernandez EM, Lian G, Katiyar RS, Auciello O (2015) Hetero-epitaxial BiFeO3/SrTiO3 Nanolaminates with higher piezoresponse performance over stoichiometric BiFeO3 films. Appl Phys Lett 106:022905CrossRef
331.
go back to reference Sudarsan S, Hiller J, Kabius B, Auciello O (2007) Piezoelectric/ultrananocrystalline diamond heterostructures for high-performance multifunctional micro/nanoelectromechanical systems. Appl Phys Lett 90:134101CrossRef Sudarsan S, Hiller J, Kabius B, Auciello O (2007) Piezoelectric/ultrananocrystalline diamond heterostructures for high-performance multifunctional micro/nanoelectromechanical systems. Appl Phys Lett 90:134101CrossRef
332.
go back to reference Auciello O (2020) Science and Technology of Integrated Multifunctional Piezoelectric Oxides/Ultrananocrystalline Diamond (UNCDTM) Films for a New Generation of Biomedical MEMS Energy Generation, Drug Delivery and Sensor Devices. In: O. Auciello (ed). Ch 9 in book “Ultrananocrystalline Diamond (UNCDTM), Oxides, Nitrides Coatings and Nanoparticles for New Generation of Medical Devices, Prostheses, and Treatments. Cambridge Publisher, Cambridge (in press). Auciello O (2020) Science and Technology of Integrated Multifunctional Piezoelectric Oxides/Ultrananocrystalline Diamond (UNCDTM) Films for a New Generation of Biomedical MEMS Energy Generation, Drug Delivery and Sensor Devices. In: O. Auciello (ed). Ch 9 in book “Ultrananocrystalline Diamond (UNCDTM), Oxides, Nitrides Coatings and Nanoparticles for New Generation of Medical Devices, Prostheses, and Treatments. Cambridge Publisher, Cambridge (in press).
333.
go back to reference Thomas R, Mochizuki S, Mihara T, Ishid T (2002) Preparation of Pb(Zr, Ti)O thin films by RF-magnetron sputtering with single stoichiometric target: structural and electrical properties. Thin Solid Films 413:65–75CrossRef Thomas R, Mochizuki S, Mihara T, Ishid T (2002) Preparation of Pb(Zr, Ti)O thin films by RF-magnetron sputtering with single stoichiometric target: structural and electrical properties. Thin Solid Films 413:65–75CrossRef
334.
go back to reference Wang Z, Kokawa H, Maeda R (2006) Epitaxial PZT films Deposited by pulsed Laser Deposition for MEMS Applications. TIMA Editions/DTIP, ISBN 2:916187-03. Wang Z, Kokawa H, Maeda R (2006) Epitaxial PZT films Deposited by pulsed Laser Deposition for MEMS Applications. TIMA Editions/DTIP, ISBN 2:916187-03.
335.
go back to reference Auciello O, Dat R, Ramesh R (1996) Pulsed laser ablation-deposition and characterization of ferroelectric thin films and heterostructures. In: Paz de Araujo CA, Scott JF, Taylor GW (eds) Ferroelectric thin films: synthesis and basic properties. Gordon and Breach Publishers, London Auciello O, Dat R, Ramesh R (1996) Pulsed laser ablation-deposition and characterization of ferroelectric thin films and heterostructures. In: Paz de Araujo CA, Scott JF, Taylor GW (eds) Ferroelectric thin films: synthesis and basic properties. Gordon and Breach Publishers, London
336.
go back to reference Bajaj P, Akin D, Gupta A, Sherman D, Shi B, Auciello O, Bashir R (2007) Ultrananocrystalline diamond film as an optimal cell Interface for biomedical applications. Biomed Microdev 9(6):787–794CrossRef Bajaj P, Akin D, Gupta A, Sherman D, Shi B, Auciello O, Bashir R (2007) Ultrananocrystalline diamond film as an optimal cell Interface for biomedical applications. Biomed Microdev 9(6):787–794CrossRef
337.
go back to reference Shi B, Jin Q, Chen L (2008) Auciello O (2008) Fundamentals of ultrananocrystalline diamond (UNCD) thin films as biomaterials for developmental biology: Embryonic fibroblasts growth on the surface of (UNCD) films. Diam Relat Mater 18(2):596–600 Shi B, Jin Q, Chen L (2008) Auciello O (2008) Fundamentals of ultrananocrystalline diamond (UNCD) thin films as biomaterials for developmental biology: Embryonic fibroblasts growth on the surface of (UNCD) films. Diam Relat Mater 18(2):596–600
338.
go back to reference O.Auciello’s wife received a defibrillator/pacemaker, powered by a Li-ion battery, in 2013 (total cost of device + hospital, in the USA, $91,000 dollars). The full device (encapsulated electronics plus battery) had to be replaced by 2019 (total cost of new device + 1-day hospital = $71,000 dollars). O.Auciello’s wife received a defibrillator/pacemaker, powered by a Li-ion battery, in 2013 (total cost of device + hospital, in the USA, $91,000 dollars). The full device (encapsulated electronics plus battery) had to be replaced by 2019 (total cost of new device + 1-day hospital = $71,000 dollars).
339.
go back to reference Ballantine DS Jr, White RM, Martin SJ, Ricco AJ, Zellers ET, Frye GC, Wohltjen H, Levy M and Stern R (1997) Acoustic wave sensors: theory, design, & physico-chemical applications, Academic Press Inc. Ballantine DS Jr, White RM, Martin SJ, Ricco AJ, Zellers ET, Frye GC, Wohltjen H, Levy M and Stern R (1997) Acoustic wave sensors: theory, design, & physico-chemical applications, Academic Press Inc.
340.
go back to reference Zalazar M, Auciello O (2020) Science and technology of integrated nitride piezoelectric/ ultrananocrystalline diamond (UNCDTM) films for a new generation of biomedical MEMS energy generation, drug delivery and sensor devices. In: Auciello O (ed.) Chapter 8 in book “Ultrananocrystalline Diamond (UNCDTM), Oxides, Nitrides Coatings and Nanoparticles for New Generation of Medical Devices, Prostheses, and Treatments. Cambridge Publisher (in press) Zalazar M, Auciello O (2020) Science and technology of integrated nitride piezoelectric/ ultrananocrystalline diamond (UNCDTM) films for a new generation of biomedical MEMS energy generation, drug delivery and sensor devices. In: Auciello O (ed.) Chapter 8 in book “Ultrananocrystalline Diamond (UNCDTM), Oxides, Nitrides Coatings and Nanoparticles for New Generation of Medical Devices, Prostheses, and Treatments. Cambridge Publisher (in press)
341.
go back to reference Gruen DM, Krauss AR, Auciello O, Carlisle JA (2004) N-Type Doping of NCD Films with Nitrogen and Electrodes Made There from”, US patent #6,793,849 B1 Gruen DM, Krauss AR, Auciello O, Carlisle JA (2004) N-Type Doping of NCD Films with Nitrogen and Electrodes Made There from”, US patent #6,793,849 B1
342.
go back to reference Getty SA, Auciello O, Sumant AV, Wang X, Galvin DP, Mahaffy PR (2010) Characterization of nitrogen-incorporated ultrananocrystalline diamond as a robust cold cathode material. Micro-and Nanotechnology Sensors, Systems, and Applications-II. In: George T, Islam S, Dutta A (eds.) Proceedings SPIE. vol. 7679, 76791N-1. Getty SA, Auciello O, Sumant AV, Wang X, Galvin DP, Mahaffy PR (2010) Characterization of nitrogen-incorporated ultrananocrystalline diamond as a robust cold cathode material. Micro-and Nanotechnology Sensors, Systems, and Applications-II. In: George T, Islam S, Dutta A (eds.) Proceedings SPIE. vol. 7679, 76791N-1.
Metadata
Title
Review on advances in microcrystalline, nanocrystalline and ultrananocrystalline diamond films-based micro/nano-electromechanical systems technologies
Authors
Orlando Auciello
Dean M. Aslam
Publication date
25-01-2021
Publisher
Springer US
Published in
Journal of Materials Science / Issue 12/2021
Print ISSN: 0022-2461
Electronic ISSN: 1573-4803
DOI
https://doi.org/10.1007/s10853-020-05699-9

Other articles of this Issue 12/2021

Journal of Materials Science 12/2021 Go to the issue

Premium Partners