Skip to main content

2019 | OriginalPaper | Buchkapitel

A Coherent and Power-Efficient Optical Memory Access Network for Kilo-Core Processor

verfasst von : Quanyou Feng, Junhui Wang, Hongwei Zhou, Wenhua Dou

Erschienen in: Computer Engineering and Technology

Verlag: Springer Singapore

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Coherent and power-efficient processor-memory interconnects are of great importance for kilo-core processor design. This paper proposes a hybrid photonic architecture for such interconnection. Specifically, a bandwidth-efficient photonic network which also supports coherence management is used for memory accesses between last-level HBM caches and off-chip HMC memory pools. Simulation results show that the hybrid network achieves up to 11% of system speedup and up to 6 times of energy savings, when compared to conventional electric interconnects.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Springer Professional "Wirtschaft"

Online-Abonnement

Mit Springer Professional "Wirtschaft" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 340 Zeitschriften

aus folgenden Fachgebieten:

  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Versicherung + Risiko




Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Schulte, M.J., Ignatowski, M., Loh, G.H., et al.: Achieving exascale capabilities through heterogeneous computing. IEEE Micro 35(4), 26–36 (2015)CrossRef Schulte, M.J., Ignatowski, M., Loh, G.H., et al.: Achieving exascale capabilities through heterogeneous computing. IEEE Micro 35(4), 26–36 (2015)CrossRef
2.
Zurück zum Zitat Borkar, S.: Thousand core chips a technology perspective. In: Proceedings of 44th ACM/IEEE Design Automation Conference, pp. 746–749 (2007) Borkar, S.: Thousand core chips a technology perspective. In: Proceedings of 44th ACM/IEEE Design Automation Conference, pp. 746–749 (2007)
3.
Zurück zum Zitat Sanchez, D., et al.: An analysis of on-chip interconnection networks for large-scale chip multiprocessors. ACM Trans. Archit. Code Optim. 7(1), 4 (2010)CrossRef Sanchez, D., et al.: An analysis of on-chip interconnection networks for large-scale chip multiprocessors. ACM Trans. Archit. Code Optim. 7(1), 4 (2010)CrossRef
4.
Zurück zum Zitat Dong, P., et al.: Silicon photonic devices and integrated circuits. Nanophotonics 3, 215–228 (2014)CrossRef Dong, P., et al.: Silicon photonic devices and integrated circuits. Nanophotonics 3, 215–228 (2014)CrossRef
5.
Zurück zum Zitat Iyer, S.S.: Three-dimensional integration: an industry perspective. MRS Bull. 40(03), 225–232 (2015)CrossRef Iyer, S.S.: Three-dimensional integration: an industry perspective. MRS Bull. 40(03), 225–232 (2015)CrossRef
8.
Zurück zum Zitat Shacham, A., Bergman, K., Carloni, L.P.: Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Trans. Comput. 57(9), 1246–1260 (2008)MathSciNetCrossRef Shacham, A., Bergman, K., Carloni, L.P.: Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Trans. Comput. 57(9), 1246–1260 (2008)MathSciNetCrossRef
9.
Zurück zum Zitat Vantrease, D., et al.: Corona: system implications of emerging nanophotonic technology. In: Proceedings of 35th International Symposium on Computer Architecture, pp. 153–164 (2008) Vantrease, D., et al.: Corona: system implications of emerging nanophotonic technology. In: Proceedings of 35th International Symposium on Computer Architecture, pp. 153–164 (2008)
10.
Zurück zum Zitat Chan, J., et al.: PhoenixSim: a simulator for physical-layer analysis of chip-scale photonic interconnection networks. In: Proceedings on Design, Automation & Test in Europe (2010) Chan, J., et al.: PhoenixSim: a simulator for physical-layer analysis of chip-scale photonic interconnection networks. In: Proceedings on Design, Automation & Test in Europe (2010)
11.
Zurück zum Zitat Kahng, A.B., et al.: ORION 2.0: a fast and accurate NoC power and area model for early-stage design space exploration. In: Proceedings on Design, Automation & Test in Europe (2009) Kahng, A.B., et al.: ORION 2.0: a fast and accurate NoC power and area model for early-stage design space exploration. In: Proceedings on Design, Automation & Test in Europe (2009)
12.
Zurück zum Zitat Sorin, D.J., et al.: A Primer on Memory Consistency and Cache Coherence. Synthesis Lectures on Computer Architecture #16. Morgan & Claypool Publishers, San Rafael (2011)CrossRef Sorin, D.J., et al.: A Primer on Memory Consistency and Cache Coherence. Synthesis Lectures on Computer Architecture #16. Morgan & Claypool Publishers, San Rafael (2011)CrossRef
13.
Zurück zum Zitat Feng, C., Lu, Z., Jantsch, A., Zhang, M., Xing, Z.: Addressing transient and permanent faults in NoC with efficient fault-tolerant deflection router. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 21(6), 1053–1066 (2013)CrossRef Feng, C., Lu, Z., Jantsch, A., Zhang, M., Xing, Z.: Addressing transient and permanent faults in NoC with efficient fault-tolerant deflection router. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 21(6), 1053–1066 (2013)CrossRef
14.
Zurück zum Zitat Feng, C., Lu, Z., Jantsch, A., Zhang, M., Yang, X.: Support efficient and fault-tolerant multicast in bufferless network-on-chip. IEICE Trans. Inf. Syst. E95-D(4), 1052–1061 (2012)CrossRef Feng, C., Lu, Z., Jantsch, A., Zhang, M., Yang, X.: Support efficient and fault-tolerant multicast in bufferless network-on-chip. IEICE Trans. Inf. Syst. E95-D(4), 1052–1061 (2012)CrossRef
16.
Zurück zum Zitat Ahmed, A.B., Meyer, M.C., Okuyama, Y., et al.: Efficient router architecture, design and performance exploration for many-core hybrid photonic network-on-chip (2D-PHENIC). In: International Conference on Information Science and Control Engineering, pp. 202–206 (2015) Ahmed, A.B., Meyer, M.C., Okuyama, Y., et al.: Efficient router architecture, design and performance exploration for many-core hybrid photonic network-on-chip (2D-PHENIC). In: International Conference on Information Science and Control Engineering, pp. 202–206 (2015)
17.
Zurück zum Zitat Kodi, A.K., et al.: Scalable power-efficient kilo-core photonic-wireless NoC architectures. In: International Parallel and Distributed Processing Symposium, pp. 1010–1019 (2018) Kodi, A.K., et al.: Scalable power-efficient kilo-core photonic-wireless NoC architectures. In: International Parallel and Distributed Processing Symposium, pp. 1010–1019 (2018)
18.
Zurück zum Zitat Meyer, M.C., Okuyama, Y., Abdallah, A.B., et al.: A power estimation method for mesh-based photonic NoC routing algorithms. In: International Symposium on Computing and Networking, pp. 451–453 (2016) Meyer, M.C., Okuyama, Y., Abdallah, A.B., et al.: A power estimation method for mesh-based photonic NoC routing algorithms. In: International Symposium on Computing and Networking, pp. 451–453 (2016)
19.
Zurück zum Zitat Woo, S.C., et al.: The SPLASH-2 programs: characterization and methodological considerations. In: International Symposium on Computer Architecture, vol. 23, no. 2, pp. 24–36 (1995)CrossRef Woo, S.C., et al.: The SPLASH-2 programs: characterization and methodological considerations. In: International Symposium on Computer Architecture, vol. 23, no. 2, pp. 24–36 (1995)CrossRef
20.
Zurück zum Zitat Miller, J.E., et al.: Graphite: a distributed parallel simulator for multicores. In: 16th IEEE Symposium on High-Performance Computer Architecture, January 2010 Miller, J.E., et al.: Graphite: a distributed parallel simulator for multicores. In: 16th IEEE Symposium on High-Performance Computer Architecture, January 2010
Metadaten
Titel
A Coherent and Power-Efficient Optical Memory Access Network for Kilo-Core Processor
verfasst von
Quanyou Feng
Junhui Wang
Hongwei Zhou
Wenhua Dou
Copyright-Jahr
2019
Verlag
Springer Singapore
DOI
https://doi.org/10.1007/978-981-15-1850-8_5

Neuer Inhalt