Skip to main content

2019 | OriginalPaper | Buchkapitel

12. Approximate Multi-Accelerator Tiled Architecture for Energy-Efficient Motion Estimation

verfasst von : Bharath Srinivas Prabakaran, Walaa El-Harouni, Semeen Rehman, Muhammad Shafique

Erschienen in: Approximate Circuits

Verlag: Springer International Publishing

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Video processing applications are inherently error resilient. This resilience comes from the fact that: (1) inputs obtained are noisy and highly correlated in the spatial and temporal domains, (2) probabilistic computational algorithms in HEVC are inherently noise tolerant with error masking capabilities, and finally, (3) the visual perception of the final user is limited by various psychological and environmental factors. Considering these features, we analyze the complex multimode motion-estimation module in the latest High Efficiency Video Coding (HEVC) for employing heterogeneous approximations. This chapter presents a short overview of the HEVC motion estimator with an in-depth analysis of its computational complexity and energy consumption, followed by a full-system approximate architecture for the energy-efficient motion-estimation coprocessor.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
2.
Zurück zum Zitat El-Harouni W, Rehman S, Prabakaran BS, Kumar A, Hafiz R, Shafique M (2017) Embracing approximate computing for energy-efficient motion estimation in high efficiency video coding. In: Proceedings of the conference on design, automation & test in Europe El-Harouni W, Rehman S, Prabakaran BS, Kumar A, Hafiz R, Shafique M (2017) Embracing approximate computing for energy-efficient motion estimation in high efficiency video coding. In: Proceedings of the conference on design, automation & test in Europe
3.
Zurück zum Zitat Grellert M, Shafique M, Khan MUK, Agostini L, Mattos JCB, Henkel J (2013) An adaptive workload management scheme for HEVC encoding. In: 20th IEEE international conference on image processing (ICIP) Grellert M, Shafique M, Khan MUK, Agostini L, Mattos JCB, Henkel J (2013) An adaptive workload management scheme for HEVC encoding. In: 20th IEEE international conference on image processing (ICIP)
4.
Zurück zum Zitat Gupta V, Mohapatra D, Raghunathan A, Roy K (2013) Low-power digital signal processing using approximate adders. IEEE Trans Comput Aid Des Integr Circuits Syst 32:124–137CrossRef Gupta V, Mohapatra D, Raghunathan A, Roy K (2013) Low-power digital signal processing using approximate adders. IEEE Trans Comput Aid Des Integr Circuits Syst 32:124–137CrossRef
5.
Zurück zum Zitat Javaid H, Shafique M, Henkel J, Parameswaran S (2011) System-level application-aware dynamic power management in adaptive pipelined MPSoCS for multimedia. In: Proceedings of the international conference on computer-aided design. IEEE, Piscataway Javaid H, Shafique M, Henkel J, Parameswaran S (2011) System-level application-aware dynamic power management in adaptive pipelined MPSoCS for multimedia. In: Proceedings of the international conference on computer-aided design. IEEE, Piscataway
6.
Zurück zum Zitat Khan MUK, Shafique M, Grellert M, Henkel J (2013) Hardware-software collaborative complexity reduction scheme for the emerging HEVC intra encoder. In: Proceedings of the conference on design, automation and test in Europe Khan MUK, Shafique M, Grellert M, Henkel J (2013) Hardware-software collaborative complexity reduction scheme for the emerging HEVC intra encoder. In: Proceedings of the conference on design, automation and test in Europe
7.
Zurück zum Zitat Khan MUK, Shafique M, Henkel J (2013) Amber: Adaptive energy management for on-chip hybrid video memories. In: Proceedings of the international conference on computer-aided design. IEEE, Piscataway Khan MUK, Shafique M, Henkel J (2013) Amber: Adaptive energy management for on-chip hybrid video memories. In: Proceedings of the international conference on computer-aided design. IEEE, Piscataway
8.
Zurück zum Zitat Khan MUK, Shafique M, Henkel J (2014) Software architecture of high efficiency video coding for many-core systems with power-efficient workload balancing. In: Proceedings of the conference on design, automation & test in Europe Khan MUK, Shafique M, Henkel J (2014) Software architecture of high efficiency video coding for many-core systems with power-efficient workload balancing. In: Proceedings of the conference on design, automation & test in Europe
9.
Zurück zum Zitat Mohapatra D, Karakonstantis G, Roy K (2009) Significance driven computation: a voltage-scalable, variation-aware, quality-tuning motion estimator. In: Proceedings of the 2009 ACM/IEEE international symposium on Low power electronics and design. ACM, New York Mohapatra D, Karakonstantis G, Roy K (2009) Significance driven computation: a voltage-scalable, variation-aware, quality-tuning motion estimator. In: Proceedings of the 2009 ACM/IEEE international symposium on Low power electronics and design. ACM, New York
10.
Zurück zum Zitat Nepal K, Hashemi S, Tann H, Bahar RI, Reda S (2016) Automated high-level generation of low-power approximate computing circuits. IEEE Trans Emerg Topics Comput 4 Nepal K, Hashemi S, Tann H, Bahar RI, Reda S (2016) Automated high-level generation of low-power approximate computing circuits. IEEE Trans Emerg Topics Comput 4
11.
Zurück zum Zitat Rehman S, El-Harouni W, Shafique M, Kumar A, Henkel J (2016) Architectural-space exploration of approximate multipliers. In: IEEE/ACM International conference on computer-aided design (ICCAD) Rehman S, El-Harouni W, Shafique M, Kumar A, Henkel J (2016) Architectural-space exploration of approximate multipliers. In: IEEE/ACM International conference on computer-aided design (ICCAD)
12.
Zurück zum Zitat Sampaio F, Shafique M, Zatt B, Bampi S, Henkel J (2014) DSVM: energy-efficient distributed scratchpad video memory architecture for the next-generation high efficiency video coding. In: Design, automation and test in Europe conference and exhibition (DATE). IEEE, Piscataway Sampaio F, Shafique M, Zatt B, Bampi S, Henkel J (2014) DSVM: energy-efficient distributed scratchpad video memory architecture for the next-generation high efficiency video coding. In: Design, automation and test in Europe conference and exhibition (DATE). IEEE, Piscataway
13.
Zurück zum Zitat Schwarz H, Marpe D, Wiegand T (2007) Overview of the scalable video coding extension of the H. 264/AVC standard. IEEE Trans Circuits Syst Video Technol 17(9):1103–1120CrossRef Schwarz H, Marpe D, Wiegand T (2007) Overview of the scalable video coding extension of the H. 264/AVC standard. IEEE Trans Circuits Syst Video Technol 17(9):1103–1120CrossRef
14.
Zurück zum Zitat Shafique M, Bauer L, Henkel J (2010) enBudget: a run-time adaptive predictive energy-budgeting scheme for energy-aware motion estimation in H. 264/MPEG-4 AVC video encoder. In: Design, automation & test in Europe conference & exhibition (DATE), 2010. IEEE, Piscataway Shafique M, Bauer L, Henkel J (2010) enBudget: a run-time adaptive predictive energy-budgeting scheme for energy-aware motion estimation in H. 264/MPEG-4 AVC video encoder. In: Design, automation & test in Europe conference & exhibition (DATE), 2010. IEEE, Piscataway
15.
Zurück zum Zitat Shafique M, Molkenthin B, Henkel J (2010) An HVS-based adaptive computational complexity reduction scheme for H. 264/AVC video encoder using prognostic early mode exclusion. In: Proceedings of the conference on design, automation and test in Europe Shafique M, Molkenthin B, Henkel J (2010) An HVS-based adaptive computational complexity reduction scheme for H. 264/AVC video encoder using prognostic early mode exclusion. In: Proceedings of the conference on design, automation and test in Europe
16.
Zurück zum Zitat Sullivan GJ, Ohm JR, Han WJ, Wiegand T (2012) Overview of the high efficiency video coding (HEVC) standard. IEEE Trans Circuits Syst Video Technol 22(12):1649–1668CrossRef Sullivan GJ, Ohm JR, Han WJ, Wiegand T (2012) Overview of the high efficiency video coding (HEVC) standard. IEEE Trans Circuits Syst Video Technol 22(12):1649–1668CrossRef
17.
Zurück zum Zitat Varatkar GV, Shanbhag NR (2006) Energy-efficient motion estimation using error-tolerance. In: Proceedings of the 2006 international symposium on low power electronics and design ISLPED’06. IEEE, Piscataway Varatkar GV, Shanbhag NR (2006) Energy-efficient motion estimation using error-tolerance. In: Proceedings of the 2006 international symposium on low power electronics and design ISLPED’06. IEEE, Piscataway
18.
Zurück zum Zitat Zatt B, Shafique M, Sampaio F, Agostini L, Bampi S, Henkel J (2011) Run-time adaptive energy-aware motion and disparity estimation in multiview video coding. In Proceedings of the 48th design automation conference. ACM, New York Zatt B, Shafique M, Sampaio F, Agostini L, Bampi S, Henkel J (2011) Run-time adaptive energy-aware motion and disparity estimation in multiview video coding. In Proceedings of the 48th design automation conference. ACM, New York
Metadaten
Titel
Approximate Multi-Accelerator Tiled Architecture for Energy-Efficient Motion Estimation
verfasst von
Bharath Srinivas Prabakaran
Walaa El-Harouni
Semeen Rehman
Muhammad Shafique
Copyright-Jahr
2019
DOI
https://doi.org/10.1007/978-3-319-99322-5_12

Neuer Inhalt