Skip to main content

2018 | OriginalPaper | Buchkapitel

Design and Characterization of an ASIC Standard Cell Library Industry–Academia Chip Collaborative Project

verfasst von : M. Naga Lavanya, M. Pradeep

Erschienen in: Microelectronics, Electromagnetics and Telecommunications

Verlag: Springer Singapore

Aktivieren Sie unsere intelligente Suche, um passende Fachinhalte oder Patente zu finden.

search-config
loading …

Abstract

Standard cell design approach was important for allowing designers to scale ASICs correspondingly simple single-function ICs (of several thousand gates) to complex multi-million gate devices (SoC). Standard cell libraries are required for any IC design or chip fabrication process. The proposed work involves the design and development of an ASIC standard cell library for the 90 nm technology node using Cadence tool. The work involves identifying the optimal circuit topology for the defined logic functions, Circuit design for the functional, performance, and power dissipation specifications, Formulation of circuit simulation index for circuit characterization, design of a standard cell layout template, Layout Engineering for all the sets (DRC, LVS compliant), Parasitic Extraction and back annotation, Post layout characterization for DC, transient and power dissipation performance, and Scripting for Liberty format (Tool views). Similarly, according to our chip specifications the objective is to design optimal circuit topology like area, timing, and power.

Sie haben noch keine Lizenz? Dann Informieren Sie sich jetzt über unsere Produkte:

Springer Professional "Wirtschaft+Technik"

Online-Abonnement

Mit Springer Professional "Wirtschaft+Technik" erhalten Sie Zugriff auf:

  • über 102.000 Bücher
  • über 537 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Finance + Banking
  • Management + Führung
  • Marketing + Vertrieb
  • Maschinenbau + Werkstoffe
  • Versicherung + Risiko

Jetzt Wissensvorsprung sichern!

Springer Professional "Technik"

Online-Abonnement

Mit Springer Professional "Technik" erhalten Sie Zugriff auf:

  • über 67.000 Bücher
  • über 390 Zeitschriften

aus folgenden Fachgebieten:

  • Automobil + Motoren
  • Bauwesen + Immobilien
  • Business IT + Informatik
  • Elektrotechnik + Elektronik
  • Energie + Nachhaltigkeit
  • Maschinenbau + Werkstoffe




 

Jetzt Wissensvorsprung sichern!

Literatur
1.
Zurück zum Zitat Sung-Mo Kang, Leblebici.: CMOS Digital Integrated Circuits, 2nd Edition, Mc Graw Hill. Sung-Mo Kang, Leblebici.: CMOS Digital Integrated Circuits, 2nd Edition, Mc Graw Hill.
2.
Zurück zum Zitat Prof. Poornima H S, Prof. Chethana K S.: Standard Cell Library Design and Characterization using 45 nm technology. Prof. Poornima H S, Prof. Chethana K S.: Standard Cell Library Design and Characterization using 45 nm technology.
3.
Zurück zum Zitat Mr. Narhari, R. Kotkar M.E.: Development of High Performance Standard Cell Library in Umc 180 nm Technology. Mr. Narhari, R. Kotkar M.E.: Development of High Performance Standard Cell Library in Umc 180 nm Technology.
4.
Zurück zum Zitat Dan Clein.: CMOS IC Layout: Concepts, Methodologies, and Tools, Third edition December (2006). Dan Clein.: CMOS IC Layout: Concepts, Methodologies, and Tools, Third edition December (2006).
5.
Zurück zum Zitat Khosrow Golshan.: Physical Design Essentials an ASIC Design Implementation Perspective (2006). Khosrow Golshan.: Physical Design Essentials an ASIC Design Implementation Perspective (2006).
6.
Zurück zum Zitat Neil Weste, Kamran. E.: Principles of CMOS VLSI Design, Addison Wesley Publication. Neil Weste, Kamran. E.: Principles of CMOS VLSI Design, Addison Wesley Publication.
7.
Zurück zum Zitat GPDK 90 nm Mixed Signal Process Specifications. GPDK 90 nm Mixed Signal Process Specifications.
8.
Zurück zum Zitat Nidhi, Agnihotri.: Ultra Low Power Standard Cell Library Development in 90 nm Technology. Nidhi, Agnihotri.: Ultra Low Power Standard Cell Library Development in 90 nm Technology.
Metadaten
Titel
Design and Characterization of an ASIC Standard Cell Library Industry–Academia Chip Collaborative Project
verfasst von
M. Naga Lavanya
M. Pradeep
Copyright-Jahr
2018
Verlag
Springer Singapore
DOI
https://doi.org/10.1007/978-981-10-7329-8_83

Neuer Inhalt